瀏覽 的方式: 作者 Chang, Meng-Fan

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 20 筆資料,總共 24 筆  下一頁 >
公開日期標題作者
1-一月-2014A 0.5V 1.27mW Nose-on-a-Chip for Rapid Diagnosis of Ventilator-Associated PneumoniaTang, Kea-Tiong; Chiu, Shih-Wen; Shih, Chung-Hung; Chang, Chia-Ling; Yang, Chia-Min; Yao, Da-Jeng; Wang, Jen-Huo; Huang, Chien-Ming; Chen, Hsin; Chang, Kwuang-Han; Hsieh, Chih-Cheng; Chang, Ting-Hau; Chang, Meng-Fan; Wang, Chia-Min; Liu, Yi-Wen; Chen, Tsan-Jieh; Yang, Chia-Hsiang; Chiueh, Herming; Shyu, Jyuo-Min; 交大名義發表; National Chiao Tung University
1-十月-2018A 1-V 2.6-mW Environmental Compensated Fully Integrated Nose-on-a-ChipChou, Ting-I; Chang, Kwuang-Han; Jhang, Jia-Yin; Chiu, Shih-Wen; Wang, Guoxing; Yang, Chia-Hsiang; Chiueh, Herming; Chen, Hsin; Hsieh, Chih-Cheng; Chang, Meng-Fan; Tang, Kea-Tiong; 電機工程學系; Department of Electrical and Computer Engineering
2016A 256b-Wordlength ReRAM-based TCAM with 1ns Search-Time and 14x Improvement in WordLength-EnergyEfficiency-Density Product using 2.5T1R cellLin, Chien-Chen; Hung, Jui-Yu; Lin, Wen-Zhang; Lo, Chieh-Pu; Chiang, Yen-Ning; Tsai, Hsiang-Jen; Yang, Geng-Hau; King, Ya-Chin; Lin, Chrong Jung; Chen, Tien-Fu; Chang, Meng-Fan; 交大名義發表; National Chiao Tung University
1-六月-2017A 3T1R Nonvolatile TCAM Using MLC ReRAM for Frequent-Off Instant-On Filters in IoT and Big-Data ProcessingChang, Meng-Fan; Lin, Chien-Chen; Lee, Albert; Chiang, Yen-Ning; Kuo, Chia-Chen; Yang, Geng-Hau; Tsai, Hsiang-Jen; Chen, Tien-Fu; Sheu, Shyh-Shyuan; 交大名義發表; 資訊工程學系; National Chiao Tung University; Department of Computer Science
1-一月-2015A 3T1R Nonvolatile TCAM Using MLC ReRAM with Sub-1ns Search TimeChang, Meng-Fan; Lin, Chien-Chen; Lee, Albert; Kuo, Chia-Chen; Yang, Geng-Hau; Tsai, Hsiang-Jen; Chen, Tien-Fu; Sheu, Shyh-Shyuan; Tseng, Pei-Ling; Lee, Heng-Yuan; Ku, Tzu-Kun; 交大名義發表; National Chiao Tung University
2016a-SiGeC Thin Film Photovoltaic Enabled Self-Power Monolithic 3D IC Under Indoor IlluminationKao, Ming-Hsuan; Yang, Chih-Chao; Wu, Tsung-Ta; Hsieh, Tung-Ying; Huang, Wen-Hsieh; Wang, Hsing-Hsiang; Shen, Chang-Hong; Yeh, Wen-Kuan; Chang, Meng-Fan; Shieh, Jia-Min; 光電工程學系; Department of Photonics
1-一月-2016Design of a 0.5V 1.68mW Nose-on-a-Chip for Rapid Screen of Chronic Obstructive Pulmonary DiseaseChou, Ting-I; Chiu, Shih-Wen; Chang, Kwuang-Han; Chen, Yi-Ju; Tang, Chen-Ting; Shih, Chung-Hung; Hsieh, Chih-Cheng; Chang, Meng-Fan; Yang, Chia-Hsiang; Chiueh, Herming; Tang, Kea-Tiong; 電子工程學系及電子研究所; 電機工程學系; Department of Electronics Engineering and Institute of Electronics; Department of Electrical and Computer Engineering
2015Energy-Efficient Non-Volatile TCAM Search Engine Design Using Priority-Decision in Memory Technology for DPITsai, Hsiang-Jen; Yang, Keng-Hao; Peng, Yin-Chi; Lin, Chien-Chen; Tsao, Ya-Han; Chang, Meng-Fan; Chen, Tien-Fu; 資訊工程學系; Department of Computer Science
1-四月-2017eTag: Tag-Comparison in Memory to Achieve Direct Data Access based on eDRAM to Improve Energy Efficiency of DRAM CacheYang, Keng-Hao; Tsai, Hsiang-Jen; Li, Chia-Yin; Jendra, Paul; Chang, Meng-Fan; Chen, Tien-Fu; 資訊工程學系; Department of Computer Science
1-十二月-2017A Flexible Wildcard-Pattern Matching Accelerator via Simultaneous Discrete Finite AutomataTsai, Hsiang-Jen; Chen, Chien-Chih; Peng, Yin-Chi; Tsao, Ya-Han; Chiang, Yen-Ning; Zhao, Wei-Cheng; Chang, Meng-Fan; Chen, Tien-Fu; 資訊工程學系; Department of Computer Science
1-十二月-2014A Fully Integrated Nose-on-a-Chip for Rapid Diagnosis of Ventilator-Associated PneumoniaChiu, Shih-Wen; Wang, Jen-Huo; Chang, Kwuang-Han; Chang, Ting-Hau; Wang, Chia-Min; Chang, Chia-Lin; Tang, Chen-Ting; Chen, Chien-Fu; Shih, Chung-Hung; Kuo, Han-Wen; Wang, Li-Chun; Chen, Hsin; Hsieh, Chih-Cheng; Chang, Meng-Fan; Liu, Yi-Wen; Chen, Tsan-Jieh; Yang, Chia-Hsiang; Chiueh, Herming; Shyu, Juyo-Min; Tang, Kea-Tiong; 電機資訊學士班; 電子工程學系及電子研究所; Undergraduate Honors Program of Electrical Engineering and Computer Science; Department of Electronics Engineering and Institute of Electronics
2014Heterogeneously integrated sub-40nm low-power epi-like Ge/Si monolithic 3D-IC with stacked SiGeC ambient light harvesterShen, Chang-Hong; Shieh, Jia-Min; Huang, Wen-Hsien; Wu, Tsung-Ta; Chen, Chien-Fu; Kao, Ming-Hsuan; Yang, Chih-Chao; Lin, Chein-Din; Wang, Hsing-Hsiang; Hsieh, Tung-Yang; Chen, Bo-Yuan; Huang, Guo-Wei; Chang, Meng-Fan; Yang, Fu-Liang; 光電工程學系; Department of Photonics
1-一月-2020Introduction to the Special Issue on the 2019 IEEE International Solid-State Circuits Conference (ISSCC)Carusone, Tony Chan; Seok, Mingoo; Chang, Hsie-Chia; Chang, Meng-Fan; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2014Leveraging Data Lifetime for Energy-Aware Last Level Non-Volatile SRAM Caches using Redundant Store EliminationTsai, Hsiang-Jen; Chen, Chien-Chih; Yang, Keng-Hao; Yang, Ting-Chin; Huang, Li-Yue; Chung, Ching-Hao; Chang, Meng-Fan; Chen, Tien-Fu; 資訊工程學系; Department of Computer Science
2015Logic/Memory Hybrid 3D Sequentially Integrated Circuit Using Low Thermal Budget Laser ProcessYang, Chih-Chao; Hsieh, Tung-Ying; Huang, Wen-Hsien; Wu, Tsung-Ta; Wang, Hsing-Hsiang; Shen, Chang-Hong; Kao, Ming-Hsuan; Yeh, Wen-Kuan; Chang, Meng-Fan; Wu, Meng-Chyi; Shieh, Jia-Min; 光電工程學系; Department of Photonics
6-七月-2006Method for eliminating crosstalk in a metal programmable read only memoryChang, Meng-Fan; Wen, Kuei-Ann
2013Monolithic 3D Chip Integrated with 500ns NVM, 3ps Logic Circuits and SRAMShen, Chang-Hong; Shieh, Jia-Min; Wu, Tsung-Ta; Huang, Wen-Hsien; Yang, Chih-Chao; Wan, Chih-Jen; Lin, Chein-Din; Wang, Hsing-Hsiang; Chen, Bo-Yuan; Huang, Guo-Wei; Lien, Yu-Chung; Wong, Simon; Wang, Chieh; Lai, Yin-Chieh; Chen, Chien-Fu; Chang, Meng-Fan; Hu, Chenming; Yang, Fu-Liang; 光電工程學系; Department of Photonics
1-一月-2019Monolithic 3D SRAM-CIM Macro Fabricated with BEOL Gate-All-Around MOSFETsHsueh, Fu-Kuo; Lee, Chun-Ying; Xue, Cheng-Xin; Shen, Chang-Hong; Shieh, Jia-Min; Chen, Bo-Yuan; Chiu, Yen-Cheng; Chen, Hsiu-Chih; Kao, Ming-Hsuan; Huang, Wen-Hsien; Li, Kai-Shin; Wu, Chien-Ting; Lin, Kun-Lin; Chen, Kun-Ming; Huang, Guo-Wei; Chang, Meng-Fan; Hu, Chenming; Yeh, Wen-Kuan; 交大名義發表; National Chiao Tung University
十一月-2016A ReRAM-Based 4T2R Nonvolatile TCAM Using RC-Filtered Stress-Decoupled Scheme for Frequent-OFF Instant-ON Search Engines Used in IoT and Big-Data ProcessingChang, Meng-Fan; Huang, Lie-Yue; Lin, Wen-Zhang; Chiang, Yen-Ning; Kuo, Chia-Chen; Chuang, Ching-Hao; Yang, Keng-Hao; Tsai, Hsiang-Jen; Chen, Tien-Fu; Sheu, Shyh-Shyuan; 交大名義發表; National Chiao Tung University
一月-2017A Resistance Drift Compensation Scheme to Reduce MLC PCM Raw BER by Over 100x for Storage Class Memory ApplicationsKhwa, Win-San; Chang, Meng-Fan; Wu, Jau-Yi; Lee, Ming-Hsiu; Su, Tzu-Hsiang; Yang, Keng-Hao; Chen, Tien-Fu; Wang, Tien-Yen; Li, Hsiang-Pang; Brightsky, Matthew; Kim, Sangbum; Lung, Hsiang-Lan; Lam, Chung; 交大名義發表; National Chiao Tung University