鄭晃忠

鄭晃忠 Cheng, Huang-Chung

電子郵件/E-mail:hccheng536@mail.nctu.edu.tw

服務單位/Department:電機學院 / 奈米中心

著作期間/Publish Period:1986 - 2014-10-22

著作統計/Statistics

Article(238)
Others(4)
Patents(4)
Plan(40)
Thesis(163)

Article

序號
No.
標題
Title
著作日期
Date
1 Hyperhomocysteinemia in Patients with Polypoidal Choroidal Vasculopathy: A Case Control Study 2014-10-22
2 Conductivity enhancement of multiwalled carbon nanotube thin film via thermal compression method
2014-08-29
3 Functionalized Carbon Nanotube Thin Films as the pH Sensing Membranes of Extended-Gate Field-Effect Transistors on the Flexible Substrates
2014-07-01
4 Planar junctionless poly-Si thin-film transistors with single gate and double gate
2014-06-01
5 A Novel Control Strategy of Circulating Currents in Paralleled Single-Phase Boost Converters With Different Power Sharing for Microgrid Applications
2014-03-01
6 Enhanced efficiency of the dye-sensitized solar cells by excimer laser irradiated carbon nanotube network counter electrode
2014-02-03
7 Superior bipolar resistive switching characteristics of Cu-TiO2 based RRAM cells 2014-01-01
8 Laser-unzipped carbon nanotube based glucose sensor for separated structure of enzyme modified field effect transistor
2013-12-15
9 Improving the stability and reproducibility of the carbon nanotube gas ionization sensor by Co-Ti/Ti co-deposited catalyst layer
2013-12-01
10 Low repetition rate and broad frequency tuning from a grating-coupled passively mode-locked quantum dot laser
2013-11-18
11 Investigation of quantum dot passively mode-locked lasers with excited-state transition
2013-11-04
12 High-Performance Programmable Metallization Cell Memory With the Pyramid-Structured Electrode
2013-10-01
13 Oxygen Plasma Functionalized Multiwalled Carbon Nanotube Thin Film as A pH Sensing Membrane of Extended-Gate Field-Effect Transistor
2013-10-01
14 High-performance resistive switching characteristics of programmable metallization cell with oxidized Cu-Ti electrodes
2013-09-30
15 Pillar Height Dependence of Field-Emission Properties in an Array of Carbon Nanotube Pillars
2013-08-01
16 Effects of crystallization mechanism on the electrical characteristics of green continuous-wave-laser-crystallized polycrystalline silicon thin film transistors
2013-07-29
17 Effect of arrangement of carbon nanotube pillars on its gas ionization characteristics
2013-06-01
18 The mechanism of the surface morphology transformation for the carbon nanotube thin film irradiated via excimer laser
2013-05-06
19 Short-Term External Buckling With Pneumatic Retinopexy for Retinal Detachment With Inferior Retinal Breaks
2013-04-01
20 Coaxial-structured ZnO/silicon nanowires extended-gate field-effect transistor as pH sensor
2013-02-01
21 High-performance polycrystalline silicon thin-film transistors prepared via the laser crystallization of the prepatterned channel layer on the bottom-gate structure
2013-02-01
22 A novel technique to fabricate 28 nm p-MOSFETs possessing gate oxide integrity on an embedded SiGe channel without silicon surface passivation
2012-12-12
23 High-Performance Polycrystalline-Silicon Nanowire Thin-Film Transistors With Location-Controlled Grain Boundary via Excimer Laser Crystallization
2012-11-01
24 A Novel pH Sensor of Extended-Gate Field-Effect Transistors With Laser-Irradiated Carbon-Nanotube Network
2012-11-01
25 High-performance vertically stacked bottom-gate and top-gate polycrystalline silicon thin-film transistors for three-dimensional integrated circuits
2012-11-01
26 An Extended-Gate Field-Effect Transistor With Low-Temperature Hydrothermally Synthesized SnO2 Nanorods as pH Sensor
2012-10-01
27 High Sensitivity of Dry-Type Nanowire Sensors With High-k Dielectrics for pH Detection via Capillary Atomic Force Microscope Tip Coating Technique
2012-09-01
28 A Novel Polysilicon Field-Enhanced Nanowire Thin-Film Transistor with the TiN-Hafnia-Nitride-Vacuum-Silicon (THNVAS) Structure for Nonvolatile Memory Applications 2012-07-01
29 High-Performance Bottom-Gate Poly-Si Polysilicon-Oxide-Nitride-Oxide-Silicon Thin Film Transistors Crystallized by Excimer Laser Irradiation for Two-Bit Nonvolatile Memory Applications 2012-07-01
30 The pH Sensing Characteristics of the Extended-Gate Field-Effect Transistors of Multi-Walled Carbon-Nanotube Thin Film Using Low-Temperature Ultrasonic Spray Method 2012-07-01
31 High-Performance Polycrystalline Silicon Thin-Film Transistors with Two-Dimensional Location Control of the Grain Boundary via Excimer Laser Crystallization 2012-07-01
32 Effect of the Annealing Ambient on the Electrical Characteristics of the Amorphous InGaZnO Thin Film Transistors 2012-07-01
33 Field Emission Properties of Carbon Nanotube Arrays on the Thickness-Controlled Flexible Substrate by the Pattern Transfer Process 2012-07-01
34 High-Performance ZnO Thin-Film Transistors with Location-Controlled Crystal Grains Fabricated by Low-Temperature Hydrothermal Method 2012-07-01
35 Memory characteristics of laser-crystallized polycrystalline-silicon silicon-oxide-nitride-oxide-silicon thin-film transistor with location-controlled grain boundary perpendicular to the channel
2012-06-11
36 A Novel Scheme for Fabricating CMOS Inverters With Poly-Si Nanowire Channels
2012-06-01
37 High-Performance Excimer-Laser-Crystallized Polycrystalline Silicon Thin-Film Transistors with the Pre-Patterned Recessed Channel
2012-06-01
38 Reliability improvement of InGaZnO thin film transistors encapsulated under nitrogen ambient
2012-04-09
39 A Novel SONOS Memory With Recessed-Channel Poly-Si TFT via Excimer Laser Crystallization
2012-04-01
40 Gate-all-around polycrystalline-silicon thin-film transistors with self-aligned grain-growth nanowire channels
2012-02-27
41 Low threshold current and widely tunable external cavity lasers with chirped multilayer InAs/InGaAs/GaAs quantum-dot structure
2012-02-13
42 Analysis of Relative Intensity Noise Spectra for Uniformly and Chirpily Stacked InAs-InGaAs-GaAs Quantum Dot Lasers
2012-02-01
43 Field-Emission Characteristics of Zinc Oxide Nanowires Using Low-Temperature Supercritical Carbon Dioxide Fluid Method
2012-01-01
44 The Strained-SiGe Relaxation Induced Underlying Si Defects Following the Millisecond Annealing for the 32 nm PMOSFETs
2012-01-01
45 The Boundary of a WTO Member's Obligation to Comply with Art. 31(f) of the TRIPS Agreement - A Critical Review of Granting a Compulsory License on Philips CD-R Patents by Taiwan 2012-01-01
46 pH Sensing Characteristics of Extended-Gate Field-Effect Transistor Based on Al-Doped ZnO Nanostructures Hydrothermally Synthesized at Low Temperatures
2011-11-01
47 Gate-All-Around Poly-Si TFTs With Single-Crystal-Like Nanowire Channels
2011-09-01
48 Novel Dielectric-Engineered Trapping-Charge Poly-Si-TFT Memory With a TiN-Alumina-Nitride-Vacuum-Silicon Structure
2011-08-01
49 The Effects of Nanometal-Induced Crystallization on the Electrical Characteristics of Bottom-Gate Poly-Si Thin-Film Transistors 2011-07-01
50 Effect of Oxygen Annealing on the Ultraviolet Photoresponse of p-NiO-Nanoflower/n-ZnO-Nanowire Heterostructures 2011-07-01
51 Field-Emission Characteristics of Al-Doped ZnO Nanostructures Hydrothermally Synthesized at Low Temperature 2011-07-01
52 Passivation-Induced Subthreshold Kink Effect of Ultrathin-Oxide Low-Temperature Polycrystalline Silicon Thin Film Transistors
2011-07-01
53 A Novel Coaxial-Structured Amorphous-Silicon p-i-n Solar Cell With Al-Doped ZnO Nanowires
2011-07-01
54 High Field-Emission Stability of Offset-Thin-Film Transistor-Controlled Al-Doped Zinc Oxide Nanowires
2011-04-01
55 Zinc Oxide Thin-Film Transistors with Location-Controlled Crystal Grains Fabricated by Low-Temperature Hydrothermal Method
2011-04-01
56 Photoresponse of hydrothermally grown lateral ZnO nanowires
2010-10-01
57 Study of ultra-shallow p(+)n junctions formed by excimer laser annealing
2010-09-01
58 Field emission characteristics of carbon nanotubes post-treated with high-density Ar plasma
2010-01-15
59 The evolutionary process and collaboration in supply chains
2010-01-01
60 A New Charge-Trap-Engineered Memory Device with Silicon-Oxide-Nitride-Vacuum-Silicon (SONVAS) Structure for LTPS-TFT-Based Applications 2010-01-01
61 Self-patterning of high-performance thin film transistors
2009-08-01
62 High oriented ZnO films by sol-gel and chemical bath deposition combination method
2009-05-05
63 Fabrication of Novel Three-Step Drift-Doped Low-Temperature Polycrystalline Silicon Lateral Double-Diffusion Metal-Oxide-Semiconductor Using Excimer Laser Crystallization
2009-03-01
64 A Novel LTPS-TFT-Based Charge-Trapping Memory Device with Field-Enhanced Nanowire Structure 2009-01-01
65 Effect of Sn-doped on microstructural and optical properties of ZnO thin films deposited by sol-gel method
2008-12-01
66 Novel gate-all-around poly-Si TFTs with multiple nanowire channels
2008-08-01
67 Formation of thin-film transistors with a polycrystalline hetero-structure channel layer
2008-08-01
68 Ferroelectricity and negative temperature coefficient of resistance in pulsed-laser-deposited (Pb, Sr) TiO(3) films
2008-04-21
69 Structural and electrical investigation of laser annealed (Pb,Sr)TiO3 thin films
2008-01-01
70 Carbon-nanotube-based field emission devices with a self-focusing gate structure
2008-01-01
71 臺灣科技產業運用中國涉外仲裁機制之策略研究
2008
72 Polycrystalline silicon thin-film transistors with location-controlled crystal grains fabricated by excimer laser crystallization
2007-11-12
73 High-performance short-channel double-gate low-temperature polysilicon thin-film transistors using excimer laser crystallization
2007-11-01
74 A quasi-planar thin film field emission diode
2007-11-01
75 Crystallinity and electrical properties of (Pb,Sr)TiO3 films enhanced by laser-assisted low-thermal-budget annealing
2007-10-01
76 Dependence of ferroelectric characteristics on the deposition temperature of (Pb,Sr)TiO3 films
2007-10-01
77 Effects of accumulated laser pulses on (Pb,Sr)TiO(3) films post-excimer laser annealed at low temperatures 2007-08-01
78 The reliability improvements of carbon nanotubes emitters by utilizing an Fe-Ti codeposited catalyst
2007-08-01
79 Cation-mediated effects on zinc oxide films formed by chemical bath deposition
2007-07-01
80 Growth and field emission characteristics of carbon nanotubes using Co/Cr/Al multilayer catalyst
2007-07-01
81 High-performance self-aligned bottom-gate low-temperature poly-silicon thin-film transistors with excimer laser crystallization
2007-07-01
82 Investigation of source-follower type analog buffer using low temperature poly-Si TFTs
2007-03-01
83 Wavelength switching transition in quantum dot lasers
2007-02-19
84 Field emission improvement through structure of intermixture of long and short carbon nanotubes
2007-02-01
85 Improvement of luminescent uniformity via synthesizing the carbon nanotubes on a Fe-TiCo-deposited catalytic layer
2007-02-01
86 Characteristics of low-temperature pulse-laser-deposited (Pb,Sr)TiO3 films in metal/ferroelectric/silicon structure
2007-01-07
87 Study on carbon nanotubes synthesized at low temperatures using multilayerd catalytic films 2007-01-01
88 Pillar-shaped carbon nanotubes by Ti-Fe codeposition 2007-01-01
89 Transparent ZnO thin film transistor fabricated by sol-gel and chemical bath deposition combination method
2007-01-01
90 Polarization degradation and breakdown of pulse-laser-deposited (Pb,Sr)TiO3 films at low temperatures
2007-01-01
91 Periodically lateral silicon grains fabricated by excimer laser irradiation with a-Si spacers for LTPS TFTs
2007-01-01
92 Structural and electrical investigations of pulse-laser-deposited (Pb,Sr)TiO3 films at various oxygen partial pressures
2007-01-01
93 Properties of carbon nanotubes via a thin Ti capping layer on the pretreated catalyst
2007-01-01
94 Study on fatigue and breakdown properties of Pt/(Pb,Sr)TiO3/Pt capacitors
2006-11-22
95 Threshold-voltage-compensation methods for AMOLED pixel and analog buffer circuits 2006-09-01
96 New pixel circuits for driving active matrix organic light emitting diodes
2006-02-01
97 A poly-Si thin-film transistor with the in situ vacuum gaps under the T-shaped-gated electrode 2006-01-01
98 A source-follower type analog buffer using poly-Si TFTs with large design windows
2005-11-01
99 A New Pixel Circuit for Driving Organic Light-Emitting Diode With Low Temperature Polycrystalline Silicon Thin-Film Transistors
2005-09-01
100 Fabrication and characterization of lateral field emission device based on carbon nanotubes
2005-04-01
101 Improved field-emission properties of carbon nanotube field-emission arrays by controlled density growth,of carbon nanotubes
2005-01-01
102 High-performance poly-Si thin film transistors crystallized by excimer laser irradiation with a-Si spacer structure 2005-01-01
103 Growth and characterization of tungsten carbide nanowires by thermal annealing of sputter-deposited WCx films
2004-09-20
104 Fabrication of trench-gate power MOSFETs by using a dual doped body region
2004-07-01
105 Investigation of a 450 V rating silicon-on-insulator lateral-double-diffused-metal-oxide-semiconductor fabrication by 12/25/5/40 V bipolar-complementary metal-oxide-semiconductor double-diffused metal-oxide-semiconductor process on bulk silicon substrate
2004-07-01
106 Novel low-temperature polycrystalline-silicon power devices with very-low on-resistance using excimer laser-crystallization
2004-01-01
107 Lateral superjunction reduced surface field structure for the optimization of breakdown and conduction characteristics, in a high-voltage lateral double diffused metal oxide field effect transistor
2003-12-01
108 Characterizing trench-gate power metal-oxide-semiconductor field effect transistor with multi-layer dielectrics at the trench bottom
2003-11-01
109 Modeling and design of the high performance step SOI-LIGBT power devices by partition mid-point method
2003-10-01
110 Thickness dependence of microstructure of laterally crystallized poly-Si thin films and electrical characteristics of low-temperature poly-Si TFTs
2003-08-01
111 Role of extrinsic atoms on the morphology and field emission properties of carbon nanotubes
2003-06-16
112 Dependence of polarization on temperature coefficient resistance of (Ba, Sr)TiO3 thin films post-treated by RTA 2003-04-01
113 The effects of post excimer laser annealing on (Ba,Sr)TiO3 thin films at low substrate temperatures
2003-04-01
114 A novel germanium doping method for fabrication of high-performance p-channel poly-Si1-xGex TFT by excimer laser crystallization
2003-04-01
115 Comparison of p-side down and p-side up GaN light-emitting diodes fabricated by laser lift-off
2003-02-15
116 Effects of post-oxygen plasma treatment on Pt/(Ba,Sr)TiO3/Pt capacitors at low substrate temperatures
2003-02-01
117 Low temperature radio-frequency-sputtered (Ba, Sr)TiO3 films on Pt/TiN/Ti/Si substrates with various oxygen/argon mixing ratios
2003-02-01
118 Controlling steps during early stages of the aligned growth of carbon nanotubes using microwave plasma enhanced chemical vapor deposition
2002-10-01
119 Improvement of field emission characteristics of carbon nanotubes by excimer laser treatment
2002-10-01
120 An investigation of bias temperature instability in hydrogenated low-temperature polycrystalline silicon thin film transistors
2002-09-01
121 Integrated tungsten chemical mechanical polishing process characterization for via plug interconnection in ultralarge scale integrated circuits
2002-08-01
122 Investigation of inductively coupled plasma gate oxide on low temperature polycrystalline-silicon TFTs
2002-06-01
123 A novel laser-processed self-aligned gate-overlapped LDD poly-Si TFT
2002-03-01
124 Effects of CH4/SiH4 flow ratio and microwave power on the growth of beta-SiC on Si by ECR-CVD using CH4/SiH4/Ar at 200 degrees C
2002-02-22
125 Fabrication of low-temperature poly-Si thin film transistors with self-aligned graded lightly doped drain structure 2002-01-01
126 Generalized interconnect delay time and crosstalk models: I. Applications of interconnect optimization design
2001-12-01
127 Generalized interconnect delay time and crosstalk models: II. Crosstalk-induced delay time deterioration and worst crosstalk models
2001-12-01
128 Low turn-on voltage field emission triodes with selective growth of carbon nanotubes
2001-11-01
129 A comparative study of Ar and H-2 as carrier gases for the growth of SiC films on Si(100) by electron cyclotron resonance chemical vapor deposition at low temperature
2001-11-01
130 Effects of excimer laser dopant activation on low temperature polysilicon thin-film transistors with lightly doped drains 2001-11-01
131 Fabrication and characterization of low turn-on voltage carbon nanotube field emission triodes 2001-08-01
132 High-performance low-temperature poly-Si TFTs crystallized by excimer laser irradiation with recessed-channel structure
2001-06-01
133 Fabrication and characterization of carbon nanotube triodes
2001-05-01
134 Integration of thin film transistor controlled carbon nanotubes for field emission devices 2001-04-01
135 Effect of temperature and illumination on the instability of a-Si : H thin-film transistors under AC gate bias stress
2001-04-01
136 Field emission properties of two-layer structured SiCN films
2001-03-15
137 Effect of rapid-thermal-annealed TiN barrier layer on the Pt/BST/Pt capacitors prepared by RF magnetron co-sputter technique at low substrate temperature
2001-01-01
138 Low-temperature process to improve the leakage current of (Ba, Sr)TiO3 films on Pt/TiN/Ti/Si substrates
2000-12-15
139 Properties of Mg activation in thermally treated GaN : Mg films
2000-12-01
140 Effect of rapid thermal annealed TiN barrier layer on BST capacitors prepared by RF magnetron cosputter system at low substrate temperatures 2000-12-01
141 Enhancement in field emission of silicon microtips by bias-assisted carburization
2000-11-01
142 Turnaround phenomenon of threshold voltage shifts in amorphous silicon thin film transistors under negative bias stress
2000-10-01
143 Field-emission properties of aligned carbon nanotubes
2000-09-15
144 The instability mechanisms of hydrogenated amorphous silicon thin film transistors under AC bias stress
2000-07-01
145 Field emission from quasi-aligned SiCN nanorods
2000-05-01
146 High current density field emission from arrays of carbon nanotubes and diamond-clad Si tips
2000-05-01
147 Improved contact performance of GaN film using Si diffusion
2000-04-03
148 W ohmic contact for highly doped n-type GaN films
2000-04-01
149 Fabrication and characterization of various carbon-clad silicon microtips with ultra-small tip radii
2000-02-01
150 Thin-film transistors with polycrystalline silicon films prepared by two-step rapid thermal annealing
2000-01-15
151 Suppression of boron penetration for p(+) stacked poly-Si gates by using inductively coupled N-2 plasma treatment
1999-10-01
152 Effects of rapid thermal annealing on cobalt silicided p(+) poly-Si gates fabricated by BF2+ implantation into bilayered CoSi/a-Si films
1999-07-01
153 Effects of O-2- and N2O-plasma treatments on properties of plasma-enhanced-chemical-vapor-deposition tetraethylorthosilicate oxide
1999-07-01
154 Effects of CoSi2 on p(+) polysilicon gates fabricated by BF2+ implantation into CoSi amorphous Si bilayers
1998-10-01
155 Excellent low-pressure-oxidized Si3N4 films on roughened poly-si for high-density DRAM's
1998-09-01
156 Effects of helicon-wave-plasma etching on the charging damage of aluminum interconnects
1998-07-01
157 A novel process to form cobalt silicided p(+) poly-Si gates by BF2+ implantation into bilayered CoSi/a-Si films and subsequent anneal
1998-07-01
158 A novel two-step etching to suppress the charging damages during metal etching employing helicon wave plasma
1998-06-01
159 Characterization of GaN epitaxial layers on SiC substrates with AlxGa1-xN buffer layers
1997-12-18
160 Charging damages to gate oxides in a helicon O-2 plasma
1997-12-01
161 Effects of RCA clean-up procedures on the formation of roughened poly-Si electrodes for high-density DRAMs' capacitors
1997-11-01
162 The instability characteristics of amorphous silicon thin film transistors with various interfacial and bulk defect states
1997-10-01
163 Growth and characterizations of GaN on SiC substrates with buffer layers 1997-09-01
164 Reducing threshold voltage shifts in amorphous silicon thin film transistors by hydrogenating the gate nitride prior to amorphous silicon deposition 1997-09-01
165 The oxidation mechanism of low-pressure dry oxidation of nitrides for memory devices
1997-09-01
166 The electrical characteristics of the amorphous silicon thin film transistors with dual intrinsic layers
1997-08-01
167 Mobility enhancements in AlGaN/GaN/SiC with stair-step and graded heterostructures 1997-05-12
168 Plasma passivation effects on polycrystalline silicon thin-film transistors utilizing nitrous oxide plasma
1997-04-01
169 Deposition of polycrystalline beta-SiC films on Si substrates at room temperature 1997-01-13
170 Effects of NH3 plasma passivation on N-channel polycrystalline silicon thin-film transistors
1997-01-01
171 Au/Ge/Pd ohmic contacts to n-GaAs with the Mo/Ti diffusion barrier
1996-12-01
172 Enhanced metalorganic chemical vapor deposition titanium nitride film fabricated using tetrakis-dimethylamino-titanium for barrier metal application in sub-half-micron technology
1996-08-01
173 A novel technology to reduce the antenna charging effects during polysilicon gate electron-cyclotron-resonance etching
1996-07-01
174 Effects of the rear interface states and fixed charges on the electrical characteristics of thin film transistors with thin amorphous silicon layers
1996-06-01
175 Excellent emission characteristics of tunneling oxides formed using ultrathin silicon films for flash memory devices
1996-06-01
176 Fabrication and characterization of the Pd-silicided emitters for field-emission devices
1996-06-01
177 Electrical properties of amorphous silicon films with different thicknesses in metal/insulator/semiconductor structures
1996-05-01
178 Enhanced electron emission from phosphorus-doped diamond-clad silicon field emitter arrays
1996-05-01
179 Excellent Au/Ge/Pd ohmic contacts to n-type GaAs using Mo/Ti as the diffusion barrier
1996-04-01
180 High-performance An/Ti/Ge/Pd ohmic contacts on n-Type In0.5Ga0.5P
1996-04-01
181 Characterization of anodic aluminum oxide film and its application to amorphous silicon thin film transistors
1996-02-01
182 Antenna charging effects on the electrical characteristics of polysilicon gate during electron cyclotron resonance etching
1996-02-01
183 Characterization and fabrication of chimney-shaped metal field emitters
1996-01-01
184 Growth of SiC films on Si(100) by electron cyclotron resonance chemical vapor deposition using SiH4/CH4/H-2
1995-12-01
185 THE EFFECTS OF NH3 PLASMA PASSIVATION ON POLYSILICON THIN-FILM TRANSISTORS
1995-11-01
186 ANOMALOUS BIAS-STRESS-INDUCED UNSTABLE PHENOMENA OF HYDROGENATED AMORPHOUS-SILICON THIN-FILM TRANSISTORS 1995-10-23
187 SIMULATION OF THE ELECTRICAL CHARACTERISTICS OF FIELD-EMISSION TRIODES WITH VARIOUS GATE STRUCTURES
1995-10-01
188 LOW-TEMPERATURE ACTIVATION AND RECRYSTALLIZATION OF B+-IMPLANTED AND BF2+-IMPLANTED LPCVD AMORPHOUS-SI FILMS
1995-10-01
189 MICROCRYSTALLINE SIC FILMS GROWN BY ELECTRON-CYCLOTRON-RESONANCE CHEMICAL-VAPOR-DEPOSITION AT LOW-TEMPERATURES
1995-10-01
190 EFFECTS OF POSTETCHING TREATMENTS ON ELECTRICAL CHARACTERISTICS OF THERMAL OXIDES ON REACTIVE-ION-ETCHED SILICON SUBSTRATES
1995-09-01
191 NOVEL TECHNIQUE TO FORM PT-SILICIDED SHALLOW P(+)N JUNCTIONS USING LOW-TEMPERATURE PROCESSES 1995-09-01
192 INSTABILITY MECHANISMS FOR THE HYDROGENATED AMORPHOUS-SILICON THIN-FILM TRANSISTORS WITH NEGATIVE AND POSITIVE BIAS STRESSES ON THE GATE ELECTRODES 1995-07-03
193 CHARACTERIZATION OF H-2/N-2 PLASMA PASSIVATION PROCESS FOR POLY-SI THIN-FILM TRANSISTORS (TFTS)
1995-06-01
194 NOVEL TUNNELING DIELECTRIC PREPARED BY OXIDATION OF ULTRATHIN RUGGED POLYSILICON FOR 5-V-ONLY NONVOLATILE MEMORIES
1995-06-01
195 A NEW ANALYTICAL EXPRESSION FOR THE INTERFACE INDEX OF METAL SCHOTTKY CONTACTS ON SEMICONDUCTORS
1995-05-01
196 LOW-TEMPERATURE FORMATION OF SHALLOW P(+)N JUNCTIONS BY BF2+ IMPLANTATION INTO THIN PD2SI FILMS ON SI SUBSTRATES
1995-05-01
197 IMPROVEMENT OF THIN OXIDES THERMALLY GROWN ON THE REACTIVE-ION-ETCHED SILICON SUBSTRATES
1995-05-01
198 EFFECTS OF POLYSILICON ELECTRON-CYCLOTRON-RESONANCE ETCHING ON ELECTRICAL CHARACTERISTICS OF GATE OXIDES
1995-05-01
199 HIGH-PERFORMANCE SUPERTHIN OXIDE/NITRIDE/OXIDE STACKED DIELECTRICS FORMED BY LOW-PRESSURE OXIDATION OF ULTRATHIN NITRIDE
1995-04-01
200 HIGH-PERFORMANCE NITRIDED OXIDES FABRICATED BY VERY-LOW-PRESSURE NITRIDATION TECHNIQUE
1995-04-01
201 EFFECTS OF COBALT SILICIDATION ON THE ELECTRICAL CHARACTERISTICS OF SHALLOW P(+)N JUNCTIONS FORMED BY BF2+ IMPLANTATION INTO THIN POLYCRYSTALLINE SI FILMS
1995-03-01
202 ENERGY-DEPENDENCE OF THE ELECTRON-CAPTURE CROSS-SECTION OF GAP STATES IN UNDOPED A-SI-H FILMS
1995-02-15
203 ELECTRICAL CHARACTERISTICS OF THIN-FILM TRANSISTORS WITH DOUBLE-ACTIVE-LAYER STRUCTURE
1995-02-01
204 EFFECT OF SIH4/CH4 FLOW RATIO ON THE GROWTH OF BETA-SIC ON SI BY ELECTRON-CYCLOTRON-RESONANCE CHEMICAL-VAPOR-DEPOSITION AT 500-DEGREES-C 1995-01-09
205 SILICIDE-CAUSED ANOMALOUS REVERSE CURRENT-VOLTAGE CHARACTERISTICS OF COSI2 SHALLOW P(+)N JUNCTIONS
1995-01-01
206 A NEW FABRICATION TECHNOLOGY FOR FIELD-EMISSION TRIODES WITH EMITTER-GATE SEPARATION OF 0.18-MU-M 1995-01-01
207 NOVEL STRUCTURE FOR MEASURING THE DENSITY-OF-STATE DISTRIBUTION OF HIGH-RESISTIVITY SEMICONDUCTOR-FILMS BY ISOTHERMAL CAPACITANCE TRANSIENT SPECTROSCOPY
1994-12-01
208 SUPERTHIN O/N/O STACKED DIELECTRICS FORMED BY OXIDIZING THIN NITRIDES IN LOW-PRESSURE OXYGEN FOR HIGH-DENSITY MEMORY DEVICES
1994-11-01
209 FORMATION OF SHALLOW P(+)N JUNCTIONS BY BF2+ IMPLANTATION INTO THIN POLYCRYSTALLINE SI FILMS 1994-09-15
210 GRAIN-GROWTH OF LASER-RECRYSTALLIZED POLYCRYSTALLINE AND AMORPHOUS-SILICON FILMS 1994-09-15
211 REVERSE ANNEALING OF ARSENIC-IMPLANTED LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITION (LPCVD) AMORPHOUS-SILICON FILMS 1994-09-01
212 A SILICIDATION-INDUCED PROCESS CONSIDERATION FOR FORMING SCALE-DOWN SILICIDED JUNCTION
1994-09-01
213 EFFECTS OF VACANCIES ON THE ELECTRICAL CHARACTERISTICS OF METAL GAAS SCHOTTKY CONTACTS A QUANTITATIVE MODEL
1994-09-01
214 LOW-TEMPERATURE FORMATION OF PALLADIUM SILICIDED SHALLOW P(+)N JUNCTIONS USING IMPLANT THROUGH METAL TECHNOLOGY
1994-06-01
215 NOVEL PHENOMENON OF THE AL-1 WT-PERCENT-SI CONTACTS ON THE NF3/AR POST-ETCHING-TREATED N-SI SUBSTRATES 1993-09-15
216 THE PROCESS LIMITATION FOR FORMING TI SILICIDED SHALLOW JUNCTION BY BF(2)+ IMPLANTATION INTO THIN POLYCRYSTALLINE SI FILMS AND SUBSEQUENT TI SILICIDATION 1993-08-30
217 CHARACTERISTICS OF POLYCRYSTALLINE SILICON THIN-FILM TRANSISTORS WITH THIN OXIDE NITRIDE GATE STRUCTURES
1993-08-01
218 SHALLOW N(+)P JUNCTION FORMATION BY IMPLANTING P+ IONS INTO THIN CO FILMS AND LASER PROCESSING 1993-01-01
219 A NEW OXIDATION-RESISTANT COSI2 PROCESS FOR SELF-ALIGNED SILICIDATION (SALICIDE) TECHNOLOGY 1993-01-01
220 ACTIVATION MECHANISM OF IMPLANTED BORON IN A SI SUBSTRATE 1992-12-01
221 FORMATION OF SELF-ALIGNED TISI2 P+-N JUNCTIONS BY IMPLANTING BF2+ IONS THROUGH THIN TI OR SIO2 FILM ON SI SUBSTRATE RAPID THERMAL ANNEALING 1992-10-01
222 CHARACTERIZATION OF SILICIDED SHALLOW N+P JUNCTIONS FORMED BY P+ IMPLANTATION INTO THIN TI FILMS ON SI SUBSTRATES 1992-10-01
223 CONVENTIONAL FURNACE AND RAPID THERMAL ANNEALING OF COBALT FILMS ON SI(111) 1992-09-15
224 THE PROCESS WINDOW OF A-SI/TI BILAYER METALLIZATION FOR AN OXIDATION-RESISTANT AND SELF-ALIGNED TISI2 PROCESS
1992-08-01
225 FILM THICKNESS EFFECT ON THE EPITAXIAL-GROWTH OF COSI2 ON SI(111) 1992-07-30
226 NOVEL EFFECTS OF HEATING RATE ON THE ACTIVATION RECRYSTALLIZATION OF BORON-IMPLANTED SI SUBSTRATES 1992-07-01
227 FORMATION OF P(+)N JUNCTIONS BY SI(+)+B(+) IMPLANTATION AND LASER ANNEALING 1992-04-27
228 FORMATION OF SHALLOW P+N JUNCTIONS BY IMPLANTING BF2+ IONS INTO THIN COBALT FILMS ON SILICON SUBSTRATES 1992-04-01
229 THE REVERSE ANNEAL OF JUNCTION CHARACTERISTICS IN FORMING SHALLOW P+-N JUNCTION BY BF-2(+) IMPLANTATION INTO THIN CO FILMS ON SI SUBSTRATE
1992-04-01
230 NOVEL ANNEALING SCHEME FOR FABRICATING HIGH-QUALITY TI-SILICIDED SHALLOW N+P JUNCTION BY P+ IMPLANTATION INTO THIN TI FILMS ON SI SUBSTRATE 1992-03-30
231 INFLUENCE OF IMPLANT CONDITION ON THE TRANSIENT-ENHANCED DIFFUSION OF ION-IMPLANTED BORON IN SILICON 1992-03-15
232 EFFECTS OF RAPID THERMAL ANNEALING ON THE FORMATION OF SHALLOW P+N JUNCTION BY IMPLANTING BF2+ IONS INTO THIN METAL-FILMS ON SI SUBSTRATE .1. THIN TITANIUM FILMS 1992-02-01
233 EFFECTS OF RAPID THERMAL ANNEALING ON THE FORMATION OF SHALLOW P+N JUNCTION BY IMPLANTING BF2+ IONS INTO THIN METAL-FILMS ON SI SUBSTRATE .2. THIN COBALT FILMS 1992-02-01
234 PREPARATION OF FINE-GRAINED BATIO3 1991-06-01
235 EXCELLENT THERMAL-STABILITY OF COBALT ALUMINUM-ALLOY SCHOTTKY CONTACTS ON GAAS SUBSTRATES 1990-07-01
236 THE EFFECT OF GATE ELECTRODES USING TUNGSTEN SILICIDES AND OR POLYSILICON ON THE DIELECTRIC CHARACTERISTICS OF VERY THIN OXIDES 1990-03-01
237 CROSS-SECTIONAL TRANSMISSION ELECTRON-MICROSCOPE STUDY OF THE GROWTH-KINETICS OF HEXAGONAL MOSI2 ON (001)SI 1987-03-15
238 GROWTH OF SINGLE-CRYSTALLINE COSI2 ON (111) SI IN SOLID-PHASE EPITAXY REGIME BY A NON-ULTRAHIGH VACUUM METHOD 1987-01-26

Others

序號
No.
標題
Title
著作日期
Date
1 Fabrication and characterization of lateral field emission device based on carbon nanotubes 2003-01-01
2 SHALLOW JUNCTIONS FORMED BY BF2+ IMPLANTATION INTO THIN COSI FILMS AND RAPID THERMAL ANNEALING 1994-07-15
3 SUPERIOR DAMAGE-IMMUNITY OF THIN OXIDES THERMALLY GROWN ON REACTIVE-ION-ETCHED SILICON SURFACE IN N2O AMBIENT
1994-05-01
4 SUPPRESSION OF ANOMALOUS DIFFUSION OF ION-IMPLANTED BORON IN SILICON BY LASER PROCESSING 1992-04-01

Patents

序號
No.
標題
Title
著作日期
Date
1 頂閘極型電晶體陣列基板
2012-01-21
2 鐵電薄膜電容器及製造方法
2008-10-01
3 鐵電元件之製造方法及鐵電材料之熱處理方法
2006-01-11
4 鐵電元件之製造方法及鐵電材料之熱處理方法
2006-01-01

Plan

序號
No.
標題
Title
著作日期
Date
1 以轉移方式製備透明石墨烯電極結合低溫水熱法成長之氧化鋅奈米結構應用於可撓式有機太陽能電池之研究 2014
2 以雷射退火製備高性能奈米碳管薄膜感測與場發射元件於可撓式基板之元件技術開發與研究 2014
3 以雷射退火製備高性能奈米碳管薄膜感測與場發射元件於可撓式基板之元件技術開發與研究 2013
4 奈米碳管應用於三維積體電路(3D IC)之矽晶直通孔(TSV)結構之技術探討與研究 2012
5 以雷射退火製備高性能奈米碳管薄膜感測與場發射元件於可撓式基板之元件技術開發與研究 2012
6 奈米碳管應用於三維積體電路(3D IC)之矽晶直通孔(TSV)結構之技術探討與研究 2011
7 奈米碳管應用於三維積體電路(3D IC)之矽晶直通孔(TSV)結構之技術探討與研究 2010
8 以矽化物及碳為射極之高性能真空微電子關鍵製程與元件技術之研究 2009
9 應用於智慧型電子針灸之主動式軟性電晶體陣列背板之研究(III) 2009
10 應用於智慧型電子針灸之主動式軟性電晶體陣列背板之研究(II) 2008
11 以矽化物及碳為射極之高性能真空微電子關鍵製程與元件技術之研究 2008
12 以矽化物及碳為射極之高性能真空微電子關鍵製程與元件技術之研究 2007
13 應用於智慧型電子針灸之主動式軟性電晶體陣列背板之研究(I) 2007
14 利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用---總計畫(III) 2006
15 利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用---子計畫一:以連續波雷射法製作高效能低溫腹晶矽薄膜電晶體之製程開發(III) 2006
16 利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用-子計畫一:以連續波雷射法製作高效能低溫腹晶矽薄膜電晶體之製程開發(II) 2005
17 利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用-總計畫:利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用(II) 2005
18 一維矽奈米線成長機制與控制之研究(I)
2004
19 利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用---總計畫---利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用(I) 2004
20 利用連續波雷射結晶法製作低溫複晶矽薄膜電晶體之製程開發及其在面板系統整合上之應用---子計畫一---以連續波雷射法製作高效能低溫腹晶矽薄膜電晶體之製程開發(I) 2004
21 利用奈米碳管製造低電壓操作之場發射顯示器之製程研究(III)
2003
22 利用奈米碳管製造低電壓操作之場發射顯示器之製程研究(II)
2002
23 奈米相變之鈦酸鍶鋇薄膜在微熱感測元件之應用
2002
24 高均勻載子移動率之低溫複晶矽薄膜電晶體研製
2001
25 低工作電壓奈米碳管場發射電子源之製程研究
2001
26 高性能多用途之新結構低溫複晶矽薄膜電晶體之製作
2000
27 應用於類比電路之整合式雙極性/互補金氧半元件/擴散式金氧半元件 技術之開發---子計畫二:在雙極性/互補式金氧半/雙擴散金氧半(BCD)技術中雙擴散金氧半(DMOS)之最佳化設計與製造
2000
28 在雙極性/互補金氧半/雙擴散式金氧半(BCD)技術中雙擴散金氧半(DMOS)之最佳化設計與製造 2000
29 以準分子雷射退火技術製作低溫複(單)晶矽薄膜電晶體元件
2000
30 補助交通大學貴重儀器使用中心服務計畫 1999
31 製作低溫450℃複晶矽薄膜電晶體之關鍵技術---主動層(Active Layer)及源/汲接面(Junction)之研製 1999
32 應用於類比電路之整合式雙極性/互補金氧半元件/擴散式金氧半元件技術之開發---子計畫II:在雙極性/互補式金氧半/雙擴散金氧半(BCD)技術中雙擴散金氧半(DMOS)之最佳化設計與製造(I)
1999
33 場發射元件用之低工作函數之材料及製程研究
1998
34 薄膜電晶體型液晶顯像系統之研發(III) 1996
35 複晶矽薄膜電晶體相關技術之開發與研究---子計畫一:複晶矽薄膜電晶體之薄膜成長技術之研究 1996
36 場發射顯示系統技術之研究(II) 1995
37 薄膜電晶體型液晶顯像系統之研發(II) 1995
38 真空微電子元件之製作模擬與設計 1994
39 液晶光閥之研究 1993
40 真空尖端之模擬---求出最佳之理論尖端 1993

Proceedings Paper

序號
No.
標題
Title
著作日期
Date
1 Superior Resistive Switching Characteristics of Cu-TiO2 Based RRAM Cell 2013-01-01
2 Experimental and Theoretical Study of Low-Cost Hydrothermally Grown Nanowire Silicon Solar Cell 2013-01-01
3 Coaxial-Structured Solar Cells with Silicon Nanostructures
2012-01-01
4 Polysilicon Nanowire Sensor Devices Based on High-k Dielectric Membrane for pH Sensing and DNA Detection
2012-01-01
5 Field enhancement of omega-shaped-gated poly-Si TFT SONOS memory fabricated by a simple sidewall spacer formation
2010-05-01
6 Growth and Field Emission Characteristics of Pillar-like Carbon Nanotubes Using Co-Ti/Al Co-deposited Catalysts at Low Temperature
2010-01-01
7 Characteristics of Zinc Oxide Thin Film Transistors Fabricated by Location-Controlled Hydrothermal Method
2010-01-01
8 UV photodetectors with lateral self-assembled ZnO nanowires grown at low temperature 2009-01-01
9 Novel Omega-Shaped-Gated TFT SONOS Memory 2009-01-01
10 High-performance top and bottom double-gate low-temperature poly-silicon thin film transistors fabricated by excimer laser crystallization
2008-03-01
11 Custos Remote On-demand Healthcare Aided with Wireless Sensors and Mobile Phones 2008-01-01
12 Temperature-dependent characteristics of pulse-laser-deposited (Pb,Sr)TiO3 films at low temperatures
2008-01-01
13 Improving electrical performance of the scaled low-temperature poly-Si thin film transistors using vacuum encapsulation technique 2008-01-01
14 Advanced gate-all-around fin-like poly-Si TFTs with multiple nanowire channels 2008-01-01
15 Carbon nanotubes synthesized at low temperature and a novel self-focusing gated field emission device 2008-01-01
16 Performance of sol-gel deposited Zn1-xMgxO films used as active channel layer for thin-film transistors
2007-12-15
17 Fabrication of location-controlled silicon crystal grains by combining excimer laser irradiation with nanometer-sized A-Si 2007-01-01
18 A novel self-aligned field induced drain polycrystalline silicon thin film transistor fabricated by using a selective side etch process 2007-01-01
19 Fabrication and characterization of excimer laser crystallized double-gate low-temperature poly-silicon thin film transistors 2007-01-01
20 Thin-film transistors with active layers of zinc oxide (ZnO) fabricated by low-temperature chemical bath method
2006-03-01
21 Source-Follower Type Analog Buffer Using Low Temperature Poly-Si TFTs for AMLCDs 2006-01-01
22 Low temperature polycrystalline silicon thin film transistors fabricated by amorphous silicon spacer structure with pre-patterned TEOS oxide layer 2005-01-01
23 New analog buffer circuit using low temperature polycrystalline thin film transistors for active matrix displays 2005-01-01
24 A new pixel circuit for driving organic light emitting diodes with low temperature polycrystalline thin film transistors 2005-01-01
25 New pixel circuits for driving organic light emitting diodes with low temperature polycrystalline Si thin film transistors 2005-01-01
26 Excimer laser crystallization of a-Si1-xGex thin films and its applications to the low-temperature poly-Si1-xGex TFTs 2004-01-01
27 Controlled density growth of carbon nanotubes and its improvement on field emission properties 2004-01-01
28 Characteristics of (Pb, Sr)TiO3 films post treated by low temperature technologies 2004-01-01
29 Effects of thermal stabilities for the ultra thin chromium layers applied on (Ba,Sr)TiO3 thin films
2004-01-01
30 Degradation of passivated and non-passivated N-channel low-temperature polycrystalline silicon TFTs prepared by excimer laser processing
2002-08-01
31 Study on dopant activation of phosphorous implanted polycrystalline silicon thin films by KrF excimer laser annealing
2002-08-01
32 Characteristics of low-temperature-prepared (Ba, Sr)TiO3 films post treated by novel excimer laser annealing 2002-01-01
33 Current-temperature characteristics of low-temperature-sputtered (Ba,Sr)TiO3 films post treated by rapid thermal annealing
2002-01-01
34 Investigation of the gate dielectric oxidation treatment in trench gate power devices 2001-01-01
35 Field electron emission from C-based emitters and devices 2001-01-01
36 A novel device structure for low-temperature polysilicon TFTs with controlled grain growth in channel regions
2000-01-01
37 Effect of TiN treated by rapid thermal annealing on properties of BST capacitors prepared by RF magnetron co-sputter system at low substrate temperature 2000-01-01
38 Chimney-shaped and plateau-shaped gate electrode field emission arrays 1998-01-01
39 Chimney-shaped and plateau-shaped gate electrode field emission arrays 1998-01-01
40 The reliability of amorphous silicon thin film transistors for LCD under DC and AC stresses 1998-01-01
41 Novel N2O plasma passivation on polycrystalline silicon thin-film transistors 1997-01-01
42 Low temperature deposited highly-conductive N-type SiC thin films 1997-01-01
43 Enhanced electron emission from phosphorous- and boron-doped diamond-clad Si field emitter arrays
1996-12-15
44 Investigation of the dosage effect on the activation of arsenic- and boron-implanted low-pressure chemical vapor deposition (LPCVD) amorphous-silicon films
1996-02-01
45 Diagnostic techniques for polycrystalline thin film growth 1996-01-01
46 Polycrystalline beta-SiC film growth on Si by ECR-CVD at 178-500 degrees C 1996-01-01
47 Fabrication and characterization of various carbon-clad silicon microtips with ultra-small tip radii. 1996-01-01
48 Fabrication and characterization of diamond-clad silicon field emitter arrays
1995-12-01
49 MICROCRYSTALLINE beta-SIC GROWTH ON SI BY ECR-CVD AT 500 degrees C 1995-01-01
50 Fabrication and characterization of gated Si field emitter arrays with gate aperture below 0.5 mu m
1995-01-01
51 Fabrication and characterization of the Pd-silicided emitters for field-emission devices
1995-01-01
52 Fabrication of Ti and Co silicided shallow junctions using novel techniques 1995-01-01
53 Process-related instability mechanisms for the hydrogenated amorphous silicon thin film transistors 1995-01-01
54 A NEW PORTRAYAL OF OXIDATION OF UNDOPED POLYCRYSTALLINE SILICON FILMS IN A SHORT-DURATION
1994-01-01
55 CHARACTERIZATION OF A HIGH-QUALITY AND UV-TRANSPARENT PECVD SILICON-NITRIDE FILM FOR NONVOLATILE MEMORY APPLICATIONS
1994-01-01
56 FORMATION OF EXCELLENT SHALLOW N+P JUNCTIONS BY AS+ IMPLANTATION INTO THIN COSI FILMS ON SI SUBSTRATE 1993-04-01
57 GROWTH OF SINGLE-CRYSTALLINE COSI2 ON (111)SI AT LOW ANNEALING TEMPERATURES BY A NONULTRAHIGH VACUUM METHOD 1991-12-01
58 EFFECTS OF P+-IMPLANTED POLY-SI ELECTRODES ON THE GATE DIELECTRIC CHARACTERISTICS OF THIN OXIDES 1991-04-01

Thesis

序號
No.
標題
Title
著作日期
Date
1 石墨結構奈米材料之元件特性與應用之研究 2014
2 以準分子雷射退火製作雙閘極無接面多晶矽薄膜電晶體之特性研究 2013
3 利用雷射處理奈米碳管薄膜應用於光電與生醫元件特性之研究 2013
4 柱狀奈米碳管陣列之場發射元件特性與應用之研究 2013
5 被動式鎖模半導體量子點雷射之研究 2013
6 利用貝氏方法透過聲音指紋辨識場所 2013
7 小型金融資訊服務公司之成長商業模式研究以A公司之個案為例 2013
8 Study on the Copper-Based Resistive Random-Access-Memory (RRAM) Devices 2013
9 多晶矽奈米線元件特性與應用之研究 2012
10 高性能多晶矽薄膜電晶體於三維積體電路與系統面板之研究 2012
11 具有二維晶界控制之多晶矽薄膜與奈米線電晶體於非揮發性記憶體元件之應用與研究 2012
12 氧化鋅和二氧化錫奈米線應用於高效能光電元件暨生醫感測器之研究 2012
13 使用氧化薄化奈米尺度片狀通道之具PI型閘極無接面薄膜電晶體之製作與特性 2012
14 數位註記策略對學習者閱讀影片教材的學習成效與認知負荷影響 2012
15 具有源極汲極應變矽鍺與嵌入式矽鍺通道之28奈米和下世代P型金氧半場效電晶體特性之研究
2012
16 以準分子雷射處理奈米碳管薄膜提升染料敏化太陽能電池之光電特性 2012
17 利用掃描式超音波噴塗奈米碳管薄膜於微結構化 軟性基板之場發射照明元件之研究 2012
18 Study on the High Performance Ultraviolet Photodetector with Transparent p-NiO/ n-ZnO-Nanowires Heterojunctions Synthesized at Low Temperatures 2012
19 利用共鍍催化金屬預先成長奈米碳管基之矽晶直通孔於三維積體電路應用之研究 2011
20 積體電路閘極清洗製程參數最佳化 – 以T公司為例 2011
21 低溫水熱法合成二氧化錫奈米線結構應用於高效能酸鹼與葡萄糖生醫感測器之研究 2011
22 銅應用於氧化鈦基電阻式隨機存取記憶體之研究 2011
23 應用於系統面板之連續波雷射結晶多晶矽薄膜電晶體之研究 2010
24 低溫合成氧化鋅基奈米結構之元件特性與應用之研究 2010
25 The Illegality Analysis of Extending the Subject-Matter for Royalties: Centering on Judicial Practices of U.S. Intellectual Property.
2010
26 複晶矽應用於太陽能電池之研究與最佳化
2010
27 利用共鍍催化金屬與不同間距高度比之奈米碳管柱列改善氣體游離式感測器之特性研究
2010
28 應用於高效能酸鹼與葡萄糖生醫感測器之低溫合成鋁摻雜氧化鋅奈米結構之研究 2010
29 並聯單相交直流轉換器之新型環流電流控制策略發展 2010
30 以複雜適應系統理論與資訊科技觀點研究供應鏈的演化現象
2009
31 以複晶矽薄膜電晶體製作新穎高速電荷儲存式記憶體之研究 2009
32 單一晶界之多位元三維結構薄膜電晶體 2009
33 應用於系統面板之各種薄膜電晶體元件結構
2009
34 具電場增強式奈米線結構之複晶矽薄膜 電晶體非揮發性記憶體之研究
2008
35 以新穎轉移技術製作單晶矽薄膜電晶體於玻璃及軟性基板之研究 2008
36 利用二段式熱化學氣相沉積法成長不同間距高度比之奈米碳管柱列之場發射特性研究
2008
37 應用於矽氧氮氧矽記憶體之單一晶界通道的低溫複晶矽薄膜電體之研究 2008
38 以準分子雷射退火製作控制晶界位置之多閘極複晶矽薄膜電晶體之研究
2007
39 利用鈷鈦催化金屬合成柱狀結構之奈米碳管之場發射特性的研究
2007
40 新穎自我定位有機/無機薄膜電晶體於軟性電子應用之研究
2007
41 低溫製程之奈米碳管場發射背光模組和利用三極結構增進均勻性之研究
2007
42 利用金屬薄膜與奈米碳管為場發射材料之側向式場發射元件之研究
2007
43 具有真空間隙之T型閘極低溫多晶矽薄膜電晶體之性能與可靠度之研究
2007
44 具環繞閘極與多重奈米通道之複晶矽薄膜電晶體研究
2007
45 藉助催化金屬與元件結構以增進奈米碳管場發射特性之研究
2007
46 高性能低溫多晶矽薄膜電晶體之製程技術與特性研究
2007
47 元件結構和製程條件對非晶矽薄膜電晶體的可靠度之效應研究 2007
48 低溫合成之奈米碳管與薄膜側向場發射子之場發射特性研究
2007
49 利用鐵鈦共鍍催化金屬合成柱狀結構之奈米碳管之場發射特性的研究
2007
50 The Analytical Models and Optimization Designs for VLSI Interconnection
2006
51 Study on the Uniformity Improvement of Low-Temperature Polycrystalline-Silicon Thin Film Transistors with the Device Structures and Compensated Circuits
2006
52 準分子雷射低溫製備之鈦酸鍶鉛薄膜元件特性分析之研究
2006
53 藉由多層催化金屬之低溫合成奈米碳管之成長機制研究
2006
54 Study on the High Performance Level Shifter Driving Circuits with Low Temperature Poly-Si Thin Film Transistors
2006
55 以準分子雷射退火製作控制晶界位置之雙閘極複晶矽薄膜電晶體之研究
2006
56 Study on the Process Development and Electrical Characterization of the Patterned Polymer Thin-Film Transistors
2006
57 具有鈷/鈦/鋁催化金屬和新穎自動聚焦閘極結構之奈米碳管場發射元件之研究
2006
58 奈米碳管場發射特性之改善與其側向元件之研究
2005
59 利用準分子及連續波雷射退火製作高效能低溫複晶矽薄膜電晶體之研究
2005
60 具有適當密度與元件結構之奈米碳管之場發射特性最佳化
2005
61 低溫複晶矽薄膜電晶體元件均勻性及類比緩衝電路設計之研究
2005
62 藉由多層催化金屬於低溫成長奈米碳管之場發射顯示器之研究
2005
63 高密度電漿之後處理對奈米碳管場發射特性之影響
2004
64 低溫複晶矽薄膜電晶體在閘極交流訊號下之可靠度研究
2004
65 主動矩陣有機發光二極體用之低溫複晶矽薄膜電晶體畫素設計之研究
2004
66 以新穎降電場結構改善低溫多晶矽薄膜電晶體特性之研究
2004
67 藉由密度控制以提高真空微電子用之奈米碳管之場發射特性
2004
68 應用於場發射顯示器之低溫成長奈米碳管研究
2004
69 雷射退火再結晶低溫複晶矽薄膜電晶體之特性研究
2004
70 以準分子雷射結晶與非晶矽間隙壁結構製作高遷移率複晶矽薄膜電晶體之研究
2003
71 以準分子雷射結晶法製作高載子移動率低溫複晶矽與矽鍺薄膜電晶體之研究
2003
72 製作於單晶矽與低溫多晶矽基材之高性能整合型功率橫向雙擴散金氧半場效電晶體
2003
73 奈米碳管於場發射元件之應用
2003
74 高性能低溫多晶矽薄膜電晶體製作與特性之研究 2002
75 新穎場發射材料與元件結構之研究 2002
76 低熱預算處理之雷射濺鍍製備鈦酸鍶鉛薄膜之電性探討 2002
77 鈦酸鍶鋇薄膜之溫度電特性探討 2002
78 低能量離子植入的表面電荷效應之研究 2002
79 奈米碳管之合成與場發射特性研究 2001
80 結合準分子雷射與金屬誘導方式製作低溫複晶矽薄膜電晶體之研究 2001
81 降低表面電場之側向擴散型金氧半電晶體之研究 2001
82 以準分子雷射結晶方法製作低溫複晶矽鍺薄膜電晶體之研究 2001
83 低溫製備之鍶鈦酸鉛鐵電電容器應用於1T-FeRAM之研究 2001
84 非晶矽薄膜電晶體在閘極交流訊號下之特性與可靠度之研究 2000
85 新穎場發射材料之製程及特性研究 2000
86 低溫成長之多靶交流濺度高介電係數鈦酸鍶鋇薄膜於動態隨機存取記憶體電容器之研究 2000
87 奈米碳管場發射特性之研究與應用 2000
88 功率金氧半電晶體之量測設計與電性研究 2000
89 應用在超大型積體電路的新低介電常數聚合物之特性研究 1999
90 準分子雷射退火之低溫高介電常數鈦酸鍶鋇薄膜BaxSr1-xTiO3於動態隨機存取記憶體電容器之研究 1999
91 鎳金屬矽化物催化結晶方法對低溫複晶矽薄膜電晶體 1999
92 P型複晶矽閘極結構之硼穿透效應之研究 1998
93 The Study of High Dielectric-Constant Barium Strontium Titanate (BaxSr1-xTiO3) for DRAM Storage Capacitors 1998
94 功率二極體製程與模擬最佳化 1998
95 雙本質層非晶矽薄膜電晶體之研究 1997
96 複晶矽薄膜電晶體製程及特性之研究 1996
97 矽化鎳接觸之P+N淺接面特性研究 1996
98 超薄介電層和粗糙複晶矽電極在記憶元件上之應用 1996
99 非晶矽薄膜電晶體在閘級交流電壓下之不穩定性探討 1996
100 高密度動態隨機存取記憶體用之金氧半電容器之研究 1996
101 砷化鎵與磷化銦鎵上金屬接觸與離子佈植之研究 1996
102 低壓操作場發射元件與材料之製程及特性研究 1996
103 應用於金氧半元件之高密度電漿蝕刻之研究 1996
104 複晶矽氧化層薄膜界面特性及電性之研究 1996
105 單晶矽場發射結構之研製和特性分析 1995
106 使用大氣壓式與半大氣壓式化學氣相沉積法成長二氧化矽應用於多層複晶製程上填隙能力之研究 1995
107 粗糙複晶矽所製新奇堆疊式電容器於高密度動態隨機存取記憶體之應用 1995
108 以 ITS 技術形成鈷自行校準矽化物於P+複晶矽閘極之研究 1995
109 非晶矽薄膜電晶體特性及可靠度之研究 1995
110 以ITS技術形成鈷自行校準矽化物於P+複晶矽閘極之研究 1995
111 低熱供應複晶矽薄膜電晶體之製造與特性 1995
112 新穎結構場發射元件之製造與特性分析 1995
113 活性離子蝕刻與電子迴旋共振蝕刻在矽基材上所造成的蝕刻破壞之研究 1994
114 等溫電容暫態頻譜儀在無摻雜非晶矽薄膜內缺陷能態的電特性量測上的應用 1994
115 次微米元件之製程與分析 1994
116 超薄介電層在超大型積體電路上的應用 1994
117 蕭基二極體特性之理論研究 1994
118 複晶矽薄膜之結晶成長與雜質活化及複晶矽薄膜電晶體特性之研究 1994
119 場發射元件之研製與特性分析 1994
120 複晶矽薄膜電晶體之研究 1994
121 利用升電壓測試法研究閘極氧化層之因時可靠度 1993
122 靜態隨機存取記憶體之薄膜電晶體技術之研究 1993
123 以離子佈植於複晶矽中形成淺接面之技術研究 1993
124 次微米閘極開孔及下凹式閘極場發射元件新的製程技術 1993
125 氫氣電漿對低溫複晶矽薄膜電晶體的鈍化效應之研究 1993
126 快閃式電性可抹除式可程式化唯讀記憶體元件穿透介電層的新製程技術 1993
127 場發射元件之研製與模擬 1993
128 應用粗糙表面之複晶矽形成新奇電容器之研究 1993
129 靜態隨機存取記憶體之薄膜電晶體技術之研究 1993
130 應用粗糙表面之複晶矽形成新奇電容器之研究 1993
131 超大型積體電路用之複晶矽側壁之研究 1992
132 鈀矽化淺接面之研究 1992
133 砷化鎵上矽離子佈植及退火之研究 1991
134 真空微電子之閘極化矽晶場發射陣列之研製 1991
135 次微米元件閘極介電層之電性研究 1991
136 N+複晶矽閘極MDS電容經輻射後的研究 1991
137 複晶矽薄膜電晶體電漿鈍化效應之研究 1991
138 複晶矽上介電層成長之研究 1991
139 Study of Si□ion-implantation and annealing of GaAs 1991
140 極大型積體電路矽化淺接面之研究 1991
141 砷化鎵上金╱鎳╱鍺與金╱鈷╱鍺歐姆接觸之研究 1990
142 磷擴散和矽化鉬複晶矽化對閘極氧化層介電特性之影響 1990
143 氮化氧化層閘極介電性質之研究 1990
144 鈦鎢氮化物和鈦鎢矽化物在砷化鎵上蕭基接觸之研究 1990
145 二氟化蹦離子佈植複晶矽及複晶鉬金屬矽化物結構閘極氧化層之可靠度影響 1990
146 活性離子蝕刻在矽晶上製作槽溝式電容之研究 1989
147 電漿輔助化學氣相沈積的基板加熱效應對非晶矽薄膜電晶體電性的影響 1989
148 蒸鍍結耩對Pd SI/SI蕭基二極體電性影響 1989
149 矽化鈷接觸之PN接面的電性研究 1989
150 非晶矽薄膜電晶體之研製 1989
151 高性能低溫(≦750℃)複晶矽薄膜電晶體之探討 1989
152 超大型積體電路超薄閘極介電層之電性研究 1989
153 電漿輔助化學氣相蒸鍍非晶矽之再結晶 1989
154 藉二氟化硼離子佈植於複晶矽中以形成淺接面 1989
155 連續脈波二氧化碳雷射對氧化矽上矽薄膜再結晶技術之研究 1989
156 超大型積體電路上複晶矽閘極氧化層的影響 1989
157 鈷化鋁在砷化鎵上之蕭基特性之研究 1988
158 超大型積體電路上鈷矽化合物閘極之介電層的研究 1988
159 低溫研製(800℃以下)複晶矽薄膜電晶體之研究 1987
160 超大型積體電路閘極介電層之研究 1987
161 複晶矽之厚度對薄膜電晶體電性之影響 1987
162 不同添加物對鈦酸鋇基材料顯微結構與電學性質之影響 1987
163 超大型積體電路上閘極結構的研究 1986