趙天生

趙天生 Chao, Tien-Sheng

電子郵件/E-mail:tschao@mail.nctu.edu.tw

服務單位/Department:理學院 / 應用科技組

著作期間/Publish Period:1970-01-01 - 2014-09-01

著作統計/Statistics

Article(186)
Others(4)
Patents(1)
Plan(18)
Thesis(78)

Article

序號
No.
標題
Title
著作日期
Date
1 Ion-Bombarded and Plasma-Passivated Charge Storage Layer for SONOS-Type Nonvolatile Memory 2014-09-01
2 Improvement in pH Sensitivity of Low-Temperature Polycrystalline-Silicon Thin-Film Transistor Sensors Using H-2 Sintering
2014-03-01
3 Characterization of Ultra-Thin Ni Silicide Film by Two-Step Low Temperature Microwave Anneal
2014-01-01
4 Novel Ion Bombardment Technique for Doping Limited Cu Source in SiOx-Based Nonvolatile Switching Layer
2013-11-01
5 High-Performance Double-Layer Nickel Nanocrystal Memory by Ion Bombardment Technique
2013-10-01
6 Improved Rear-Side Passivation by Atomic Layer Deposition Al2O3/SiNx Stack Layers for High V-OC Industrial p-Type Silicon Solar Cells
2013-09-01
7 Low-Temperature Polycrystalline-Silicon Tunneling Thin-Film Transistors With MILC
2013-08-01
8 Channel Thickness Effect on High-Frequency Performance of Poly-Si Thin-Film Transistors
2013-08-01
9 High-kappa Eu2O3 and Y2O3 Poly-Si Thin-Film Transistor Nonvolatile Memory Devices
2013-07-01
10 Enhancement of Open-Circuit Voltage Using CF4 Plasma Treatment on Nitric Acid Oxides
2013-05-01
11 Impacts of Multiple Strain-Gate Engineering on a Zero-Temperature-Coefficient Point
2013-04-01
12 High-Performance Polyimide-Based ReRAM for Nonvolatile Memory Application
2013-01-01
13 Microwave Annealing of Phosphorus and Cluster Carbon Implanted (100) and (110) Si
2013-01-01
14 Al-SiO2-Y2O3-SiO2-poly-Si Thin-Film Transistor Nonvolatile Memory Incorporating a Y2O3 Charge Trapping Layer
2013-01-01
15 Polycrystalline silicon thin-film transistor with nickel-titanium oxide by sol-gel spin-coating and nitrogen implantation
2012-12-01
16 Low-Operating-Voltage Ultrathin Junctionless Poly-Si Thin-Film Transistor Technology for RF Applications
2012-11-01
17 A Novel Ion-Bombarded and Plasma-Passivated Charge Storage Layer for SONOS-Type Nonvolatile Memory
2012-10-01
18 Reliability Analysis of Symmetric Vertical-Channel Nickel-Salicided Poly-Si Thin-Film Transistors
2012-08-01
19 Temperature Dependence of Electron Mobility on Strained nMOSFETs Fabricated by Strain-Gate Engineering
2012-07-01
20 Hydrogen Instability Induced by Postannealing on Poly-Si TFTs
2012-06-01
21 Novel 2-Bit/Cell Wrapped-Select-Gate SONOS TFT Memory Using Source-Side Injection for NOR-Type Flash Array
2012-06-01
22 Electrical and reliability characteristics of polycrystalline silicon thin-film transistors with high-kappa Eu2O3 gate dielectrics
2012-04-23
23 Robust Data Retention and Superior Endurance of Silicon-Oxide-Nitride-Oxide-Silicon-Type Nonvolatile Memory with NH3-Plasma-Treated and Pd-Nanocrystal-Embedded Charge Storage Layer
2012-04-01
24 High-Performance Poly-Si Thin-Film Transistors With L-Fin Channels
2012-02-01
25 Impacts of the Underlying Insulating Layers on the MILC Growth Length and Electrical Characteristics
2012-02-01
26 Susceptor Coupling for the Uniformity and Dopant Activation Efficiency in Implanted Si Under Fixed-Frequency Microwave Anneal
2012-02-01
27 Oxide Thinning and Structure Scaling Down Effect of Low-Temperature Poly-Si Thin-Film Transistors
2012-01-01
28 Simultaneous Activation and Crystallization by Low-Temperature Microwave Annealing for Improved Quality of Amorphous Silicon Thin-Film Transistors
2012-01-01
29 Effects of Channel Width and Nitride Passivation Layer on Electrical Characteristics of Polysilicon Thin-Film Transistors
2011-11-01
30 Symmetric Vertical-Channel Nickel-Salicided Poly-Si Thin-Film Transistors With Self-Aligned Oxide Overetching Structures
2011-07-01
31 Amorphous-Layer Regrowth and Activation of P and As Implanted Si by Low-Temperature Microwave Annealing
2011-07-01
32 Characterization of Enhanced Stress Memorization Technique on nMOSFETs by Multiple Strain-Gate Engineering
2011-04-01
33 Channel Film Thickness Effect of Low-Temperature Polycrystalline-Silicon Thin-Film Transistors
2011-04-01
34 Gate-All-Around Junctionless Transistors With Heavily Doped Polysilicon Nanowire Channels
2011-04-01
35 Fabrication of sub-100-nm metal-oxide-semiconductor field-effect transistors with asymmetrical source/drain using I-line double patterning technique
2011-03-01
36 Novel Sub-10-nm Gate-All-Around Si Nanowire Channel Poly-Si TFTs With Raised Source/Drain
2011-02-01
37 High Tensile Stress with Minimal Dopant Diffusion by Low Temperature Microwave Anneal 2011-01-01
38 Fabrication and Characterization of High-k Dielectric Nickel Titanate Thin Films Using a Modified Sol-Gel Method
2011-01-01
39 High-Performance Poly-Si TFTs of Top-Gate with High-kappa Metal-Gate Combine the Laser Annealed Channel and Glass Substrate 2011-01-01
40 Impact of Strain Layer on Gate Leakage and Interface-State for nMOSFETs Fabricated by Stress-Memorization Technique 2011-01-01
41 Novel Symmetric Vertical-Channel Ni-Salicided Poly-Si Thin-Film Transistors With High ON/OFF-Current Ratio
2010-11-01
42 A Novel p-n-Diode Structure of SONOS-Type TFT NVM With Embedded Silicon Nanocrystals
2010-11-01
43 Formation and Structural Characterization of Cobalt Titanate Thin Films 2010-10-01
44 The Zero-Temperature-Coefficient Point Modeling of DTMOS in CMOS Integration
2010-10-01
45 High-Reliability Dynamic-Threshold Source-Side Injection for 2-Bit/Cell With MLC Operation of Wrapped Select-Gate SONOS in NOR-Type Flash Memory
2010-09-01
46 Characteristics of SONOS-Type Flash Memory With In Situ Embedded Silicon Nanocrystals
2010-08-01
47 Nanoscale p-MOS Thin-Film Transistor With TiN Gate Electrode Fabricated by Low-Temperature Microwave Dopant Activation
2010-05-01
48 Benefit of NMOS by Compressive SiN as Stress Memorization Technique and Its Mechanism
2010-04-01
49 The Characteristics of n- and p-Channel Poly-Si Thin-Film Transistors with Fully Ni-Salicided S/D and Gate Structure
2010-01-01
50 Physical Mechanism of High-Programming-Efficiency Dynamic-Threshold Source-Side Injection in Wrapped-Select-Gate SONOS for NOR-Type Flash Memory
2009-11-01
51 MILC-TFT With High-kappa Dielectrics for One-Time-Programmable Memory Application
2009-09-01
52 High-performance p-channel LTPS-TFT using HfO(2) gate dielectric and nitrogen ion implantation 2009-07-01
53 High-Speed Multilevel Wrapped-Select-Gate SONOS Memory Using a Novel Dynamic Threshold Source-Side-Injection (DTSSI) Programming Method
2009-06-01
54 Poly-Si Thin-Film Transistor Nonvolatile Memory Using Ge Nanocrystals as a Charge Trapping Layer Deposited by the Low-Pressure Chemical Vapor Deposition
2009-03-01
55 Vertical n-Channel Poly-Si Thin-Film Transistors With Symmetric S/D Fabricated by Ni-Silicide-Induced Lateral-Crystallization Technology
2009-03-01
56 Enhancement of Stress-Memorization Technique on nMOSFETs by Multiple Strain-Gate Engineering 2009-01-01
57 Electrical Characteristics of High Performance SPC and MILC p-Channel LTPS-TFT with High-kappa Gate Dielectric 2009-01-01
58 Characteristics of HfO(2)/Poly-Si Interfacial Layer on CMOS LTPS-TFTs With HfO(2) Gate Dielectric and O(2) Plasma Surface Treatment
2008-12-01
59 Positive Bias Temperature Instability (PBTI) Characteristics of Contact-Etch-Stop-Layer-Induced Local-Tensile-Strained HfO(2) nMOSFET 2008-12-01
60 Impacts of N-2 and NH3 Plasma Surface Treatments on High-Performance LTPS-TFT With High-kappa Gate Dielectric
2008-11-01
61 High-program/erase-speed SONOS with in situ silicon nanocrystals
2008-10-01
62 X-ray photoelectron spectroscopy energy band alignment of spin-on CoTiO(3) high-k dielectric prepared by sol-gel spin coating method 2008-09-01
63 Carrier transportation mechanism of the TaN/HfO(2)/IL/Si structure with silicon surface fluorine implantation
2008-07-01
64 SONOS memories with embedded silicon nanocrystals in nitride
2008-07-01
65 High-performance metal-induced laterally crystallized polycrystalline silicon p-channel thin-film transistor with TaN/HfO2 gate stack structure
2008-06-01
66 Reliability mechanisms of LTPS-TFT with HfO2 gate dielectric: PBTI, NBTI, and hot-carrier stress
2008-05-01
67 Impacts of fluorine ion implantation with low-temperature solid-phase crystallized activation on high-kappa LTPS-TFT
2008-02-01
68 Characteristics of PBTI and hot carrier stress for LTPS-TFT with high-kappa gate dielectric
2008-02-01
69 Current transport mechanism for HfO2 gate dielectrics with fluorine incorporation 2008-01-01
70 Optimized ONO thickness for multi-level and 2-bit/cell operation for wrapped-select-gate (WSG) SONOS memory
2008-01-01
71 Performance and interface characterization for contact etch stop layer-strained nMOSFET with HfO2 gate dielectrics under pulsed-IV measurement 2008-01-01
72 Performance enhancement by local strain in (110) channel n-channel metal-oxide-semicondiactor field-effect transistors on (111) substrate
2007-09-01
73 Characteristics of self-aligned Si/Ge T-gate poly-Si thin-film transistors with high ON/OFF current ratio
2007-05-01
74 Impact of channel dangling bonds on reliability characteristics of flash memory on poly-Si thin films
2007-04-01
75 Low-temperature polycrystalline silicon thin-film flash memory with hafnium silicate
2007-03-01
76 Systematical study of reliability issues in plasma-nitrided and thermally nitrided oxides for advanced dual-gate oxide p-channel metal-oxide-semiconductor field-effect transistors
2007-03-01
77 Highly reliable multilevel and 2-bit/cell operation of wrapped select gate (WSG) SONOS memory
2007-03-01
78 Impact of high-k offset spacer in 65-nm node SOI devices
2007-03-01
79 Performance enhancement for strained HfO(2) nMOSFET with contact etch stop layer (CESL) under pulsed-IV measurement 2007-01-01
80 Performance improvement of CoTiO3 high-k dielectrics with nitrogen incorporation
2007-01-01
81 High-performance HfO2 gate dielectrics fluorinated by postdeposition CF4 plasma treatment
2007-01-01
82 Si nanocrystal memory devices self-assembled by in situ rapid thermal annealing of ultrathin a-Si on SiO2 2007-01-01
83 High-kappa material sidewall with source/drain-to-gate non-overlapped structure for low standby power applications
2006-11-01
84 The impact of deep Ni salicidation and NH3 plasma treatment on nano-SOI FinFETs
2006-10-01
85 Fringing electric field effect on 65-nm-node fully depleted silicon-on-insulator devices
2006-09-01
86 Improving electrical characteristics of high-k NiTiO dielectric with nitrogen ion implantation.
2006-09-01
87 Prospect of cobalt-mix-tetraethoxysilane method on localized lateral growth of carbon nanotubes for both p- and n-type field effect transistors
2006-09-01
88 Suppression of interfacial reaction for HfO2 on silicon by pre-CF4 plasma treatment
2006-08-14
89 A carbon nanotube field effect transistor with tunable conduction-type by electrostatic effects
2006-07-01
90 High-performance poly-Si TFTs with fully Ni-self-aligned silicided S/D and gate structure
2006-04-01
91 Crystal orientation and nitrogen effects on the carrier mobility of p-type metal oxide semiconductor field effect transistor with ultra thin gate dielectrics
2006-03-01
92 Complementary carbon nanotube-gated carbon nanotube thin-film transistor
2006-02-27
93 Reduction of donor-like interface traps of n-type metal-oxide-semiconductor field-effect-transistors using hydrogen-annealed wafer and in-situ HF-vapor treatment
2006-01-01
94 High voltage applications and NBTI effects of DT-pMOSFETS with reverse Schottky substrate contacts
2005-07-01
95 Mobility enhancement in local strain channel nMOSFETs by stacked a-Si/poly-Si gate and capping nitride 2005-04-01
96 Effects of metallic contaminants on the electrical characteristics of ultrathin gate oxides 2005-01-01
97 Localized lateral growth of single-walled carbon nanotubes for field-effect transistors by a cobalt-mix-TEOS method 2005-01-01
98 CoTiO3 high-kappa, dielectrics on HSG for DRAM applications
2004-12-01
99 Suppression of boron penetration in P+-poly-SiGe gate p-channel metal-oxide-semiconductor field-effect transistor using NH3-nitrided and N2O-grown gate oxides
2004-11-01
100 Suppression of the floating-body effect in poly-Si thin-film transistors with self-aligned Schottky barrier source and ohmic body contact structure
2004-09-01
101 Mobility enhancement of MOSFETs on p-silicon (111) with in situ HF-Vapor. pre-gate oxide cleaning
2004-09-01
102 Novel one-step aqueous solutions to replace pregate oxide cleans
2004-08-01
103 Hot carrier degradations of dynamic threshold silicon on insulator p-type metal-oxide-semiconductor field effect transistors
2004-04-01
104 High-voltage and high-temperature applications of DTMOS with reverse Schottky barrier on substrate contacts
2004-02-01
105 Simultaneous quality improvement of tunneling- and interpoly-oxides of nonvolatile memory devices by NH3 and N2O nitridation
2003-11-01
106 The effects of dielectric type and thickness on the characteristics of dynamic threshold metal oxide semiconductor transistors
2003-09-01
107 A one-step single-cleaning solution for CMOS processes
2003-09-01
108 Ultrathin zirconium silicate films deposited on Si(100) using Zr(O(i)-Pr)(2)(thd)(2), Si(O(t)-Bu)(2)(thd)(2), and nitric oxide
2003-07-01
109 Structure and thermal stability of MOCVD ZrO2 films on Si (100)
2003-04-01
110 Effect of CF4 plasma pretreatment on low temperature oxides
2002-12-01
111 Impacts of gate structure on dynamic threshold SOI nMOSFETs
2002-08-01
112 Improvement of low-temperature gate dielectric formed in N2O plasma by an additional CF4 pretreatment process
2002-07-01
113 Ultra-shallow junction formation using implantation through capping nitride layer on source/drain extension
2002-07-01
114 Physical and electrical characterization of ZrO(2) gate insulators deposited on Si(100) using Zr(O(i)-Pr)(2)(thd)(2) and O(2) 2002-06-01
115 Performance evaluation of cleaning solutions enhanced with tetraalkylammonium hydroxide substituents for post-CMP cleaning on poly-Si film
2002-06-01
116 Performance improvement of nickel salicided n-type metal oxide semiconductor field effect transistors by nitrogen implantation
2002-04-01
117 Reduction of nickel-silicided junction leakage by nitrogen ion implantation
2002-02-01
118 A practical implementation of parallel dynamic load balancing for adaptive computing in VLSI device simulation
2002-01-01
119 Nitrogen implantation and in situ HF vapor clean for deep submicrometer n-MOSFETs
2002-01-01
120 Comparison of novel cleaning solutions, with various chelating agents for post-CMP cleaning on poly-Si film
2001-11-01
121 Electrical characteristics of thin cerium oxide film on silicon substrate by reactive DC sputtering 2001-09-01
122 Improved low temperature characteristics of p-channel MOSFETs with Si1-xGex raised source and drain
2001-08-01
123 High quality interpoly dielectrics deposited on the nitrided-polysilicon for nonvolatile memory devices
2001-07-01
124 X-ray photoelectron spectroscopy of gate-quality silicon oxynitride films produced by annealing plasma-nitrided Si(100) in nitrous oxide
2001-07-01
125 One-step cleaning solution to replace the conventional RCA two-step cleaning recipe for pregate oxide cleaning
2001-06-01
126 Electrical properties of shallow p(+)-n junction using boron-doped Si1-xGex layer deposited by ultrahigh vacuum chemical molecular epitaxy
2001-05-01
127 Characterization of ultrathin oxynitride (18-21 angstrom) gate dielectrics by NH3 nitridation and N2O RTA treatment
2001-05-01
128 Comparison of ultrathin CoTiO3 and NiTiO3 high-k gate dielectrics
2001-03-15
129 High-k cobalt-titanium oxide dielectrics formed by oxidation of sputtered Co/Ti or Ti/Co films
2001-03-05
130 Nano-oxidation of silicon nitride films with an atomic force microscope: Chemical mapping, kinetics, and applications
2001-02-15
131 High quality interpoly-oxynitride grown by NH3 nitridation and N2O RTA treatment
2001-02-01
132 The effects of super-steep-retrograde indium channel profile on deep submicron n-channel metal-oxide-semiconductor field-effect transistor
2001-01-01
133 High-performance and high-reliability 80-nm gate-length DTMOS with indium super steep retrograde channel
2000-12-01
134 Characteristics of TEOS polysilicon oxides: Improvement by CMP and high temperature RTA N-2/N2O annealing
2000-11-01
135 Optimum conditions for novel one-step cleaning method for pre-gate oxide cleaning using robust design methodology
2000-10-01
136 High quality ultrathin CoTiO3 high-k gate dielectrics 2000-09-01
137 Reduced reverse narrow channel effect in thin SOI nMOSFETs
2000-09-01
138 An anomalous crossover in Vth roll-off for indium-doped nMOSFETs
2000-09-01
139 Plasma-process-induced damage in sputtered TiN metal-gate capacitors with ultrathin nitrided oxides
2000-08-01
140 Characteristics of polysilicon oxides combining N2O nitridation and CMP processes
2000-08-01
141 Robust ultrathin oxynitride dielectrics by NH3 nitridation and N2O RTA treatment
2000-08-01
142 Plasma-induced charging damage in ultrathin (3-nm) gate oxides
2000-07-01
143 Novel cleaning solutions for polysilicon film post chemical mechanical polishing
2000-07-01
144 Improvement of polysilicon oxide integrity using NF3-annealing
2000-06-15
145 Low contact resistance of poly-plug structure by in-situ HF-vapour cleaning
2000-04-13
146 High performance 0.1 mu m dynamic threshold MOSFET using indium channel implantation
2000-03-01
147 Nanometer-scale conversion of Si3N4 to SiOx
2000-01-17
148 The combined effects of nitrogen implantation at S/D extension and N2O oxide on 0.18 mu m N- and P-metal oxide field effect transistors (MOSFETs)
1999-12-01
149 Improvement of junction leakage of nickel silicided junction by a Ti-capping layer
1999-11-01
150 A novel Si-B diffusion source for p(+)-poly-Si gate
1999-10-01
151 A comprehensive study of hot carrier stress-induced drain leakage current degradation in thin-oxide n-MOSFET's
1999-09-01
152 Enhancement of integrity of polysilicon oxide by using a combination of N2O nitridation and CMP process
1999-05-01
153 The effects of shallow germanium halo doping on N-channel metal oxide semiconductor field effect transistors
1999-01-15
154 Improvement of reliability of metal-oxide semiconductor field-effect transistors with N2O nitrided gate oxide and N2O polysilicon gate reoxidation
1998-10-01
155 Improving radiation hardness of EEPROM/flash cell by N2O annealing
1998-07-01
156 Characterization of polysilicon oxides thermally grown and deposited on the polished polysilicon films
1998-04-01
157 Evaluation of plasma charging damage in ultrathin gate oxides
1998-03-01
158 Effects of N2O-annealed sacrificial oxide on the short-channel effects of nMOSFETs
1998-02-19
159 Suppression of boron penetration in p(+) polysilicon gate using Si-B diffusion source
1998-01-08
160 A study on the radiation hardness of flash cell with horn-shaped floating-gate
1997-09-01
161 Effects of floating-gate doping concentration on flash cell performance
1997-08-01
162 Improved flash cell performance by N2O annealing of interpoly oxide
1997-07-01
163 Suppression of boron penetration by using inductive-coupling-nitrogen-plasma in stacked amorphous/polysilicon gate structure
1997-06-19
164 A novel planarization of oxide-filled shallow-trench isolation
1997-01-01
165 Suppression of boron penetration in BF2+-implanted poly-Si gate
1996-12-01
166 Mechanism of nitrogen coimplant for suppressing boron penetration in p(+)-polycrystalline silicon gate of p metal-oxide semiconductor field effect transistor 1996-09-16
167 Nitridization of the stacked poly-Si gate to suppress the boron penetration in pMOS
1996-07-01
168 POST-POLYSILICON GATE-PROCESS-INDUCED DEGRADATION ON THIN GATE OXIDE
1995-11-01
169 ELLIPSOMETRIC MEASUREMENTS AND ITS ALIGNMENT - USING THE INTENSITY RATIO TECHNIQUE
1995-09-01
170 EFFECTS OF POSTETCHING TREATMENTS ON ELECTRICAL CHARACTERISTICS OF THERMAL OXIDES ON REACTIVE-ION-ETCHED SILICON SUBSTRATES
1995-09-01
171 NITRIDATION OF THE STACKED POLY-SI GATE TO SUPPRESS THE BORON PENETRATION IN PMOS
1995-06-01
172 IMPROVEMENT OF THIN OXIDES THERMALLY GROWN ON THE REACTIVE-ION-ETCHED SILICON SUBSTRATES
1995-05-01
173 FOURIER-TRANSFORM INFRARED SPECTROSCOPIC STUDY OF OXIDE-FILMS GROWN IN PURE N2O
1995-05-01
174 THIN POLYOXIDE ON THE TOP OF POLY-SI GATE TO SUPPRESS BORON PENETRATION FOR PMOS
1995-05-01
175 INHIBITION OF BIRDS BEAK IN LOCOS BY NEW BUFFER N2O OXIDE
1995-02-16
176 MULTIPLE-ANGLE INCIDENT ELLIPSOMETRY MEASUREMENT ON LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITED AMORPHOUS-SILICON AND POLYSILICON
1994-08-01
177 MEASUREMENT OF THIN OXIDE-FILMS ON IMPLANTED SI-SUBSTRATE BY ELLIPSOMETRY
1994-04-01
178 CHARACTERIZATIONS OF OXIDE GROWN BY N2O
1993-10-01
179 CHARACTERIZATION OF SEMIINSULATING POLYCRYSTALLINE SILICON PREPARED BY LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITION
1993-09-01
180 THICKNESS DETERMINATION OF POLY-SI/POLY-OXIDE POLY-SI/SIO2/SI STRUCTURE BY ELLIPSOMETER
1993-06-24
181 THE REFRACTIVE-INDEX OF INP AND ITS OXIDE MEASURED BY MULTIPLE-ANGLE INCIDENT ELLIPSOMETRY 1993-05-15
182 A STUDY OF THE INTERFACIAL LAYER OF AL AND AL(1-PERCENT SI)-SI CONTACTS USING A ZERO-LAYER ELLIPSOMETRY MODEL 1992-11-01
183 POLY-OXIDE POLY-SI/SIO2/SI STRUCTURE FOR ELLIPSOMETRY MEASUREMENT
1992-06-04
184 MEASUREMENT OF ULTRATHIN (LESS-THAN-100-A) OXIDE-FILMS BY MULTIPLE-ANGLE INCIDENT ELLIPSOMETRY
1991-06-01
185 ELLIPSOMETRY MEASUREMENT OF THE COMPLEX REFRACTIVE-INDEX AND THICKNESS OF POLYSILICON THIN-FILMS
1990-02-01
186 Low-temperature poly-Si nanowire junctionless devices with gate-all-around TiN/Al2O3 stack structure using an implant-free technique
1970-01-01

Others

序號
No.
標題
Title
著作日期
Date
1 Low-Temperature Microwave Annealing Processes for Future IC Fabrication-A Review
2014-03-01
2 A NOVEL PLANARIZATION OF TRENCH ISOLATION USING POLYSILICON REFILL AND ETCHBACK OF CHEMICAL-MECHANICAL POLISH
1995-10-01
3 CROSSOVER PHENOMENON IN OXIDATION RATES OF THE (110) AND (111) ORIENTATIONS OF SILICON IN N2O
1995-03-01
4 SUPERIOR DAMAGE-IMMUNITY OF THIN OXIDES THERMALLY GROWN ON REACTIVE-ION-ETCHED SILICON SURFACE IN N2O AMBIENT
1994-05-01

Patents

序號
No.
標題
Title
著作日期
Date
1 具有奈米線通道之半導體元件的製程及藉此形成之半導體元件
2013-07-01

Plan

序號
No.
標題
Title
著作日期
Date
1 應用於三維積體電路與系統面板之新穎奈米電子元件開發 2014
2 應用於三維結構電路整合之薄膜電晶體 2013
3 應用於三維結構電路整合之薄膜電晶體 2012
4 應用於三維結構電路整合之薄膜電晶體 2011
5 內嵌矽奈米點之SONOS記憶體元件
2010
6 內嵌矽奈米點之SONOS記憶體元件
2009
7 內嵌矽奈米點之SONOS記憶體元件 2008
8 氣化氫氟酸在奈米金氧半電晶體之應用及其設備之開發(III) 2007
9 氣化氫氟酸在奈米金氧半電晶體之應用及其設備之開發(II) 2006
10 新型65奈米具32奈米通道長度之全空乏絕緣層上矽元件 2006
11 高電容比值之矽可變電容二極體 2005
12 氣化氫氟酸在奈米金氧半電晶體之應用及其設備之開發(I)
2005
13 製備合成氧化物在互補式金氧半閘極介電層及自旋電元件應用之研究(III)
2004
14 製備合成氧化物在互補式金氧半閘極介電層及自旋電元件應用之研究(II) 2003
15 高效能動態起始電壓絕緣層上矽元件
2003
16 製備合成氧化物在互補式金氧半閘極介電層及自旋電元件應用之研究
2002
17 一種新穎絕緣層上矽動態起啟電壓金氧半元件
2002
18 利用MOCVD製備高介電閘極材料之研究
2001

Proceedings Paper

序號
No.
標題
Title
著作日期
Date
1 Formation of inverted-pyramid structure by modifying laser processing parameters and acid etching time
2011-01-01
2 A simple method for sub-100 nm pattern generation with I-line double-patterning technique
2010-05-01
3 Novel Field-Induced Gray-Level Selective Patterning of Self-Assembled Aminosilane Monolayer on SiO(2) Surfaces by Scanning Probe Bond-Breaking Lithography 2009-04-01
4 Impacts of a buffer layer and hydrogen-annealed wafers on the performance of strained-channel nMOSFETs with SiN-capping layer
2008-10-01
5 Improvement on performance and reliability of TaN/HfO2 LTPS-TFTs with fluorine implantation
2008-03-01
6 Trapping and de-trapping characteristics in PBTI and dynamic PBTI between HfO2 and HfSiON gate dielectrics 2008-01-01
7 The polarity dependence of ONO thickness for wrapped-select-gate (WSG) SONOS memory 2008-01-01
8 Scanning probe lithography of self-assembled N-(2-aminoethyl)-3-aminopropyltrimethoxysilane monolayers on SiO2 surface
2007-09-01
9 Impacts of nitric acid oxidation on low-temperature polycrystalline silicon TFTs with high-k gate dielectric 2007-01-01
10 Mobility improvement of HfO2 LTPS-TFTs with nitrogen implanataion 2007-01-01
11 Gray-level patterning of gold nanoparticles with scanning probe lithography of self-assembly monolayer 2007-01-01
12 A highly reliable multi-level and 2-bit/cell operation of wrapped-select-gate (WSG) SONOS memory with optimized ONO thickness 2007-01-01
13 Novel method of converting metallic-type carbon nanotubes to semiconducting-type carbon nanotube field-effect transistors
2006-04-01
14 Selective deposition of gold particles on dip-pen nanolithography patterns on silicon dioxide surfaces
2006-04-01
15 2-bit poly-Si-TFT nonvolatile memory using hafnium oxide, hafnium silicate and zirconium silicate 2005-01-01
16 Characterization of interfacial layer of ultrathin Zr silicate on Si(100) using spectroscopic ellipsometry and HRTEM
2004-05-01
17 NBTI effects of pMOSFETs with different nitrogen dose imlantation
2004-01-01
18 Impact of nitrogen and/or fluorine implantation on deep-submicron Co-salicide process
2002-08-01
19 Numerical simulation of quantum effects in high-k gate dielectric MOS structures using quantum mechanical models
2002-08-01
20 A domain partition approach to parallel adaptive simulation of dynamic threshold voltage MOSFET
2002-08-01
21 Characterization of thin ZrO2 films deposited using Zr(O '-Pr)(2)(thd)(2) and O-2 on Si(100)
2002-05-01
22 Process-related reliability issues toward sub-100 nm device regime 2002-01-01
23 A new parallel adaptive finite volume method for the numerical simulation of semiconductor devices
2001-12-15
24 Plasma process induced damage in sputtered TiN metal gate capacitors with ultra-thin nitrided oxide
2000-01-01
25 Improvement of ultra-thin 3.3 nm thick oxide for co-salicide process using NF3 annealed poly-gate
1999-04-01
26 An accurate hot carrier reliability monitor for deep-submicron shallow S/D junction thin gate oxide n-MOSFET's
1999-01-01
27 Voltage scaling and temperature effects on drain leakage current degradation in a hot carrier stressed n-MOSFET
1998-01-01
28 A novel shallow trench isolation technique
1997-03-01
29 Suppression of boron penetration in P+-poly-Si gate metal-oxide-semiconductor transistor using nitrogen implantation
1997-03-01
30 Performance and reliability evaluations of P-channel flash memories with different programming schemes
1997-01-01
31 Low temperature (850 degrees C) two-step N2O annealed thin gate oxides 1996-01-01
32 SUPPRESSION OF BORON PENETRATION IN PMOS BY USING BRIDE GETTERING EFFECT IN POLY-SI GATE
1995-02-01

Thesis

序號
No.
標題
Title
著作日期
Date
1 應力對具自我對準栓塞式閘極結構之新穎閘極環繞式多晶矽奈米通道搭配抬升式源極/汲極薄膜電晶體影響之研究 2014
2 奈米矽電晶體應變效應之研究 2014
3 金屬誘發側向結晶之底部閘極多晶矽薄膜非揮發性記憶體研究 2013
4 新穎垂直通道無接面薄膜電晶體之模擬研究 2013
5 太陽能電池轉換效率改善之研究 2013
6 非對稱金氧半場效電晶體及無接面多晶矽薄膜電晶體的研究
2013
7 無接面奈米線場效電晶體之研究 2013
8 雙閘極多晶矽牛角型奈米線感測器之製程與應用 2013
9 具抬升式多晶矽奈米線穿隧式薄膜電晶體之研究
2013
10 藉由單分子層摻雜技術形成新穎的無接面多晶矽薄膜電晶體之研究 2013
11 用於生物感測的新型多晶矽薄膜電晶體之研究 2013
12 多重應力閘極之新穎應力記憶技術製作在n型金氧半場效電晶體之研究
2012
13 鍺基紅外光偵測器應用於光連接系統之設計與建模
2012
14 摻雜不同濃度的硼在金屬誘發結晶前對矽奈米晶SONOS記憶體的影響 2012
15 氨電漿對下閘極多晶矽薄膜電晶體之影響
2012
16 前表面場與氧化鋁鈍化對於交指背接 觸電極矽晶太陽能電池之研究
2012
17 單分子層摻雜的研究及其應用 2012
18 具不同通道結構薄膜電晶體 SONOS記憶體之研究 2012
19 奈米線薄膜電晶體元件之研究 2011
20 低溫微波退火應用之研究 2011
21 氮化矽的氫與其應力對電晶體之影響
2011
22 新穎垂直通道薄膜電晶體之研究 2011
23 鎳金屬誘發側向結晶垂直通道低溫複晶矽薄膜電晶體之研究
2011
24 以雙閘極多晶矽薄膜電晶體作為酸鹼感測器之研究 2011
25 表面形態及結晶條件對薄膜電晶體與非揮發性記憶體之影響
2011
26 具矽奈米晶體捕捉層之底部閘極多晶矽薄膜非揮發性記憶體元件
2011
27 具有新穎結構非揮發性記憶體 2010
28 具粗糙穿隧氧化層及阻障氧化層SONOS記憶體之研究 2010
29 動態臨限電壓場效電晶體之零溫度係數點模型研究
2010
30 利用尖角效應提高寫入電場於薄膜電晶體之記憶體元件應用
2010
31 動態臨界電晶體之精簡物理模型與應用
2010
32 隱藏式選擇性閘極結構之薄膜電晶體記憶體元件研究
2010
33 經由微波退火形成極薄且均勻厚度的鎳矽化物研究
2010
34 具高介電常數閘極絕緣層之低溫複晶矽薄膜電晶體之研究
2009
35 具不同應力及高介電層金氧半場效電晶體之可靠度研究 2009
36 利用接觸蝕刻停止層以及下凹式源極和汲極對電晶體載子遷移率提升之研究
2009
37 利用凝膠轉塗佈法製備高介電常數鈦酸鎳閘極介電質層於複晶矽薄膜電晶體之研究
2008
38 具高介電常數閘極絕緣層之低溫多晶矽薄膜電晶體可靠度研究
2008
39 具新穎應力記憶技術之金氧半場效電晶體 2008
40 超薄氧化鉿及氧化鋯鉿介電層之可靠度研究 2008
41 應用新穎低溫微波退火製程製作奈米級薄膜電晶體之分析研究 2008
42 利用多層閘極之增強型應力記憶技術製作在n型金氧半場效電晶體之研究
2007
43 閘極介電質氧化鉿與氮氧矽鉿之可靠度研究
2007
44 具分離控制閘之隱藏式選擇性SONOS NAND記憶體元件之研究 2007
45 應用高介電常數絕緣層與矽奈米微晶粒於超大型積體電路元件之研究
2007
46 氧化鉿閘極介電層之氟鈍化製程與應力工程的研究
2007
47 先進金氧半場效電晶體閘極工程對改善元件特性及可靠度之研究
2007
48 具高介電常數閘極絕緣層的低溫多晶矽薄膜電晶體之研究
2007
49 利用溶膠旋轉塗佈法備製鈦酸鈷高介電層
2007
50 運用掃描式探針微影技術研發製作矽質奈米元件結構
2006
51 氟氮離子摻雜應用於金屬閘極與高介電常數絕緣層之低溫多晶矽薄膜電晶體
2006
52 氮化矽層內嵌奈米矽晶體之SONOS型記憶體
2006
53 不同高介電常數與傳統低溫介電層應用於低溫複晶矽薄膜電晶體之比較
2006
54 區域性應力通道之氧化鉿n型金氧半場效電晶體特性及可靠度之改善 2006
55 高介電常數材料之低溫複晶矽快閃記憶體的研究
2005
56 新型低溫複晶矽非揮發性奈米鍺晶體捕獲儲存層記憶體元件
2005
57 新穎堆疊矽/鍺T型閘極複晶矽薄膜電晶體
2005
58 n型通道與p型通道完全鎳自我對準矽化源/汲與閘極複晶矽薄膜電晶體之研究
2005
59 矽奈米元件量子修正理論暨模式應用比較之研究
2005
60 新式奈米碳管電晶體製造與特性研究
2005
61 在(111)晶面基板上利用區域性應力通道提高電子遷移率之n型金氧半場效電晶體
2004
62 完全鎳自我對準矽化源/汲與閘極之薄膜電晶體研究
2004
63 Study on the reliability of pMOSFETs with different nitrogen and fluorine implantation dosages
2004
64 一種具有奈米線通道的新穎多晶矽薄膜電晶體製作與特性之研究
2004
65 智慧型計算在低雜訊放大器積體電路設計最佳化應用之研究 2004
66 氣態氫氟酸清洗閘極氧化層及堆疊式閘極在不同晶面上之研究
2004
67 動態臨界電壓金氧半電晶體之特性及可靠性研究
2004
68 利用氮處理改善鈷鈦酸高介電閘極氧化層
2003
69 利用區域性應力通道提高電子遷移率之n型金氧半場效電晶體
2003
70 量子修正模式在奈米級金屬氧化物半導體元件特性模擬之研究 2003
71 複晶矽鍺閘極之負偏壓溫度不穩定性研究
2003
72 超薄先進閘極介電層之成長與特性研究:氮氧化矽與氧化鋯及其矽酸鹽 2002
73 先進深次微米金氧半場效電晶體基極與閘極工程之研究 2000
74 閘極結構對矽在絕緣層上動態啟始電壓N型金氧半場效電晶體的影響 2000
75 study of indium super-steep-retrograde for deep submicron nMOSFET 1999
76 氫氟酸氣態清洗及氮摻雜於閘極氧化層與複晶矽氧化層之應用 1999
77 製程參數對複晶矽TEOS介電層特性之影響 1998
78 橢圓儀在半導體薄膜測量上之應用研究 1991