標題: A Study of Trimethylsilane (3MS) and Tetramethylsilane (4MS) Based alpha-SiCN:H/alpha-SiCO:H Diffusion Barrier Films
作者: Chen, Sheng-Wen
Wang, Yu-Sheng
Hu, Shao-Yu
Lee, Wen-Hsi
Chi, Chieh-Cheng
Wang, Ying-Lang
照明與能源光電研究所
Institute of Lighting and Energy Photonics
關鍵字: SiC(N);interface state;bonding configuration
公開日期: 1-三月-2012
摘要: Amorphous nitrogen-doped silicon carbide (alpha-SiCN:H) films have been used as a Cu penetration diffusion barrier and interconnect etch stop layer in the below 90-nanometer ultra-large scale integration (ULSI) manufacturing technology. In this study, the etching stop layers were deposited by using trimethylsilane (3MS) or tetramethylsilane (4MS) with ammonia by plasma-enhanced chemical vapor deposition (PECVD) followed by a procedure for tetra-ethoxyl silane (TEOS) oxide. The depth profile of Cu distribution examined by second ion mass spectroscopy (SIMs) showed that 3MS alpha-SiCN:H exhibited a better barrier performance than the 4MS film, which was revealed by the Cu signal. The FTIR spectra also showed the intensity of Si-CH3 stretch mode in the alpha-SiCN:H film deposited by 3MS was higher than that deposited by 4MS. A novel multi structure of oxygen-doped silicon carbide (SiC:O) substituted TEOS oxide capped on 4MS alpha-SiC:N film was also examined. In addition to this, the new multi etch stop layers can be deposited together with the same tool which can thus eliminate the effect of the vacuum break and accompanying environmental contamination.
URI: http://dx.doi.org/10.3390/ma5030377
http://hdl.handle.net/11536/15811
ISSN: 1996-1944
DOI: 10.3390/ma5030377
期刊: MATERIALS
Volume: 5
Issue: 3
起始頁: 377
結束頁: 384
顯示於類別:期刊論文


文件中的檔案:

  1. 000302135800002.pdf

若為 zip 檔案,請下載檔案解壓縮後,用瀏覽器開啟資料夾中的 index.html 瀏覽全文。