完整後設資料紀錄
DC 欄位語言
dc.contributor.authorLi, CFen_US
dc.contributor.authorChu, YSen_US
dc.contributor.authorSheen, WHen_US
dc.contributor.authorTian, FCen_US
dc.contributor.authorHo, JSen_US
dc.date.accessioned2014-12-08T15:39:15Z-
dc.date.available2014-12-08T15:39:15Z-
dc.date.issued2004-05-01en_US
dc.identifier.issn0018-9200en_US
dc.identifier.urihttp://dx.doi.org/10.1109/JSSC.2004.826337en_US
dc.identifier.urihttp://hdl.handle.net/11536/26806-
dc.description.abstractThis paper presents a low-power ASIC design for cell search in the wideband code-division multiple-access (W-CDMA) system. A low-complexity algorithm that is able to work satisfactorily under the effect of large frequency and clock errors is designed first. Then, a set of low-power measures are employed in the design of hardware architecture and circuits. Finally, through power analysis, critical blocks are identified and redesigned so as to further reduce the power consumption. The final design shows that the power is reduced by 51 % from the original design of 133.6 mW to 65.49 mW, and its core area is also reduced by 31.9% from 3.4 x 3.4 mm(2) to 2.8 x 2.8 mm(2). The design is implemented and verified in a 3.3-V 0.35-mum CMOS technology with clock rate 1 36 MHz.en_US
dc.language.isoen_USen_US
dc.subjectcell searchen_US
dc.subjectclock erroren_US
dc.subjectfrequency erroren_US
dc.subjectlow-power designen_US
dc.subjectW-CDMAen_US
dc.titleA low-power ASIC design for cell search in the W-CDMA systemen_US
dc.typeArticleen_US
dc.identifier.doi10.1109/JSSC.2004.826337en_US
dc.identifier.journalIEEE JOURNAL OF SOLID-STATE CIRCUITSen_US
dc.citation.volume39en_US
dc.citation.issue5en_US
dc.citation.spage852en_US
dc.citation.epage857en_US
dc.contributor.department電信工程研究所zh_TW
dc.contributor.departmentInstitute of Communications Engineeringen_US
dc.identifier.wosnumberWOS:000221116800016-
dc.citation.woscount3-
顯示於類別:期刊論文


文件中的檔案:

  1. 000221116800016.pdf

若為 zip 檔案,請下載檔案解壓縮後,用瀏覽器開啟資料夾中的 index.html 瀏覽全文。