瀏覽 的方式: 作者 Chen, Shi-Hao

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 12 筆資料,總共 12 筆
公開日期標題作者
1-一月-2014Cost-Effective Decap Selection for Beyond Die Power IntegrityChen, Yi-En; Tsai, Tu-Hsiung; Chen, Shi-Hao; Chen, Hung-Ming; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2012An Efficient Hamiltonian-Cycle Power-Switch Routing for MTCMOS DesignsWang, Yi-Ming; Chen, Shi-Hao; Chao, Mango C. -T.; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2019Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICsWang, Yu-Zhe; Wu, Jingjie; Chen, Shi-Hao; Chao, Mango Chia-Tso; Yang, Chia-Hsiang; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2012On Effective Flip-Chip Routing via Pseudo Single Redistribution LayerHsu, Hsin-Wu; Chen, Meng-Ling; Chen, Hung-Ming; Li, Hung-Chun; Chen, Shi-Hao; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2014Power-Switch Routing for Reducing Dynamic IR Drop in Multi-Domain MTCMOS DesignsWang, Yi-Ming; Chao, Mango C. -T.; Chen, Shi-Hao; Li, Hung-Chun; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-三月-2013Power-Up Sequence Control for MTCMOS DesignsChen, Shi-Hao; Lin, Youn-Long; Chao, Mango C. -T.; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-五月-2014Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad LayerChang, Wen-Hsiang; Chao, Mango C. -T.; Chen, Shi-Hao; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-五月-2014Practical Routability-Driven Design Flow for Multilayer Power Networks Using Aluminum-Pad LayerChang, Wen-Hsiang; Chao, Mango C. -T.; Chen, Shi-Hao; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2014Routability-Driven Bump Assignment for Chip-Package Co-DesignChen, Meng-Ling; Tsai, Tu-Hsiung; Chen, Hung-Ming; Chen, Shi-Hao; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
五月-2016Statistical Framework and Built-In Self-Speed-Binning System for Speed Binning Using On-Chip Ring OscillatorsMu, Szu-Pang; Chao, Mango C. -T.; Chen, Shi-Hao; Wang, Yi-Ming; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2010Testing Methods for Detecting Stuck-open Power Switches in Coarse-Grain MTCMOS DesignsMu, Szu-Pang; Wang, Yi-Ming; Yang, Hao-Yu; Chao, Mango C. -T.; Chen, Shi-Hao; Tseng, Chih-Mou; Tsai, Tsung-Ying; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2013Testing Retention Flip-flops in Power-gated DesignsHsu, Hao-Wen; Kuo, Shih-Hua; Chang, Wen-Hsiang; Chen, Shi-Hao; Chang, Ming-Tung; Chao, Mango C. -T.; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics