標題: Micro-Architecture Optimization for Low-Power Bitcoin Mining ASICs
作者: Wang, Yu-Zhe
Wu, Jingjie
Chen, Shi-Hao
Chao, Mango Chia-Tso
Yang, Chia-Hsiang
電子工程學系及電子研究所
Department of Electronics Engineering and Institute of Electronics
公開日期: 1-一月-2019
摘要: Cryptocurrencies have recently gained a lot of attention because their high security and easy transaction. Among the current cryptocurrencies, Bitcoin is the most well-known one. Application-specific ICs (ASICs) have been developed in order to deliver high throughput for Bitcoin mining. However, power dissipation is an important issue considering it causes increased mining cost and creates excessive heat. This paper presents three optimization techniques in the micro-architecture level for Bitcoin mining: deep pipelining, speculative computation, and approximate addition. The computations for Bitcoin milling are dominated by SHA-256, which can be realized by two-way 32-stage pipelines. Deep pipelining reduces the critical-path delay, resulting in less power due to architecture transformation and transistor sizing. The iterations of SHA-256 can be early terminated by leveraging speculative computation to prevent unnecessary switches. Approximate addition is adopted to reduce the critical-path delay of the compressor and expander at the cost of negligible precision loss. From the synthesis estimates at a 40-nm technology node, an overall 59.3% power reduction is achieved by applying these three techniques.
URI: http://hdl.handle.net/11536/152561
ISBN: 978-1-7281-0655-7
ISSN: 2474-2724
期刊: 2019 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT)
起始頁: 0
結束頁: 0
顯示於類別:會議論文