瀏覽 的方式: 作者 Lin, Tay-Jyi

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 20 筆資料,總共 29 筆  下一頁 >
公開日期標題作者
1-一月-2013A 0.48V 0.57nJ/Pixel Video-Recording SoC in 65nm CMOSLin, Tay-Jyi; Chien, Cheng-An; Chang, Pei-Yao; Chen, Ching-Wen; Wang, Po-Hao; Shyu, Ting-Yu; Chou, Chien-Yung; Luo, Shien-Chun; Guo, Jiun-In; Chen, Tien-Fu; Chuang, Gene C. H.; Chu, Yuan-Hua; Cheng, Liang-Chia; Su, Hong-Men; Jou, Chewnpu; Ieong, Meikei; Wu, Cheng-Wen; Wang, Jinn-Shyan; 交大名義發表; National Chiao Tung University
2006A 52mW 1200MIPS compact DSP for multi-core media SoCOu, Shih-Hao; Lin, Tay-Jyi; Huang, Chao-Wei; Kuo, Yu-Ting; Chao, Chie-Min; Liu, Chih-Wei; Jen, Chein-Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2017Accelerating R Data Analytics in IoT Edge Systems by Memory OptimizationLiou, De-Yin; Chen, Chien-Chih; Chen, Tien-Fu; Lin, Tay-Jyi; 資訊工程學系; Department of Computer Science
1-一月-2014Adaptive Variable-Latency Cache Management for Low-Voltage CachesYu, Yung-Hui; Wang, Po-Hao; Chen, Tien-Fu; Lin, Tay-Jyi; Wang, Jinn-Shyan; 資訊工程學系; Department of Computer Science
2011Complexity-Aware Quantization and Lightweight VLSI Implementation of FIR FiltersKuo, Yu-Ting; Lin, Tay-Jyi; Liu, Chih-Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008Complexity-effective auditory compensation for digital hearing aidsKuo, Yu-Ting; Lin, Tay-Jyi; Chang, Wei-Han; Li, Yueh-Tai; Liu, Chih-Wei; Young, Shuenn-Tsong; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2010Complexity-Effective Dynamic Range Compression for Digital Hearing AidsChang, Kuo-Chiang; Kuo, Yu-Ting; Lin, Tay-Jyi; Liu, Chih-Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008A controllable low-power dual-port embedded SRAM for DSP processorYang, Hao-I; Chang, Ming-Hung; Lin, Tay-Jyi; Ou, Shih-Hao; Deng, Siang-Sen; Liu, Chih-Wei; Hwang, Wei; 電子與資訊研究中心; Microelectronics and Information Systems Research Center
六月-2016Cross-matching caches: Dynamic timing calibration and bit-level timing-failure mask caches to reduce timing discrepancies with low voltage processorsWang, Po-Hao; Tsai, Shang-Jen; Tanjung, Rizal; Lin, Tay-Jyi; Wang, Jinn-Shyan; Chen, Tien-Fu; 資訊工程學系; Department of Computer Science
1-六月-2008Design and implementation of a high-performance and complexity-effective VLIW DSP for multimedia applicationsLin, Tay-Jyi; Chen, Shin-Kai; Kuo, Yu-Ting; Liu, Chih-Wei; Hsiao, Pi-Chen; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-七月-2010Design and Implementation of Low-Power ANSI S1.11 Filter Bank for Digital Hearing AidsKuo, Yu-Ting; Lin, Tay-Jyi; Li, Yueh-Tai; Liu, Chih-Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007Design of ANSI S1.11 filter bank for digital hearing aidsKuo, Yu-Ting; Lin, Tay-Jyi; Li, Yueh-Tai; Chang, Wei-Han; Liu, Chih-Wei; Young, Shuenn-Tsong; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008Improving datapath utilization of programmable DSP with composite functional unitsOu, Shih-Hao; Cho, Yi; Lin, Tay-Jyi; Liu, Chih-Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2015Low-Cost Low-Power Droop-Voltage-Aware Delay-Fault-Prevention Designs for DVS CachesChou, Pei-Yuan; Wu, I-Chen; Lin, Jai-Wei; Lin, Xuan-Yu; Chen, Tien-Fu; Lin, Tay-Jyi; Wang, Jinn-Shyan; 資訊工程學系; Department of Computer Science
2008Low-Power ANSI S1.11 Filter Bank for Digital Hearing AidsKuo, Yu-Ting; Lin, Tay-Jyi; Li, Yueh-Tai; Lin, Chou-Kun; Liu, Chih-Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2014Maintaining Color Fidelity for Dual-Shot HDR ImagingYeh, Chingwei; Tsai, Chen-Yao; Lin, Tay-Jyi; Guo, Juin-In; 交大名義發表; National Chiao Tung University
15-九月-2005Method for inter-cluster communication that employs register permutationJen, Chein-Wei; Lin, Tay-Jyi; Lee, Chen-Chia; Chang, Chin-Chi; Liu, Chih-Wei
25-二月-2010MULTITASKING PROCESSOR AND TASK SWITCHING METHOD THEREOFLin, Tay-Jyi; Huang, Pao-Jui; Liu, Chih-Wei; Chen, Shin-Kai; Wang, Bing-Shiun
2008Multithreaded coprocessor interface for multi-core multimedia SoCOu, Shih-Hao; Lin, Tay-Jyi; Deng, Xiang Sheng; Zhuo, Zhi Hong; Liu, Chih Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-三月-2011Parallel Architecture Core (PAC)-the First Multicore Application Processor SoC in Taiwan Part I: Hardware Architecture & Software Development ToolsChang, David Chih-Wei; Lin, Tay-Jyi; Wu, Chung-Ju; Lee, Jenq-Kuen; Chu, Yuan-Hua; Wu, An-Yeu; 交大名義發表; National Chiao Tung University