標題: Effect of UV curing time on physical and electrical properties and reliability of low dielectric constant materials
作者: Kao, Kai-Chieh
Chang, Wei-Yuan
Chang, Yu-Min
Leu, Jihperng
Cheng, Yi-Lung
材料科學與工程學系
Department of Materials Science and Engineering
公開日期: 1-Nov-2014
摘要: This study comprehensively investigates the effect of ultraviolet (UV) curing time on the physical, electrical, and reliability characteristics of porous low-k materials. Following UV irradiation for various periods, the depth profiles of the chemical composition in the low-k dielectrics were homogeneous. Initially, the UV curing process preferentially removed porogen-related CHx groups and then modified Si-CH3 and cage Si-O bonds to form network Si-O bonds. The lowest dielectric constant (k value) was thus obtained at a UV curing time of 300 s. Additionally, UV irradiation made porogen-based low-k materials hydrophobic and to an extent that increased with UV curing time. With a short curing time (<300 s), porogen was not completely removed and the residues degraded reliability performance. A long curing time (>300 s) was associated with improved mechanical strength, electrical performance, and reliability of the low-k materials, but none of these increased linearly with UV curing time. Therefore, UV curing is necessary, but the process time must be optimized for porous low-k materials on back-end of line integration in 45 nm or below technology nodes. (C) 2014 American Vacuum Society.
URI: http://dx.doi.org/10.1116/1.4900854
http://hdl.handle.net/11536/123936
ISSN: 0734-2101
DOI: 10.1116/1.4900854
期刊: JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
Volume: 32
Appears in Collections:Articles


Files in This Item:

  1. 000345215500027.pdf

If it is a zip file, please download the file and unzip it, then open index.html in a browser to view the full text content.