標題: Structural Design, Process, and Reliability of a Wafer-Level 3D Integration Scheme with Cu TSVs Based on Micro-bump/Adhesive Hybrid Wafer Bonding
作者: Ko, C. T.
Hsiao, Z. C.
Chang, Y. J.
Chen, P. S.
Huang, J. H.
Fu, H. C.
Huang, Y. J.
Chiang, C. W.
Lee, C. K.
Chang, H. H.
Tsai, W. L.
Chen, Y. H.
Lo, W. C.
Chen, K. N.
電子工程學系及電子研究所
Department of Electronics Engineering and Institute of Electronics
公開日期: 2012
摘要: In this study, a wafer-level 3D integration scheme with Cu TSVs based on Cu/Sn micro-bump and BCB adhesive hybrid bonding is demonstrated. To realize the signal transmission effects in high speed digital signaling via Cu TSV and Cu/Sn micro-joint interconnection, the insertion loss was investigated by simulation analysis with variable TSV pitches, micro-bump diameters and chip thicknesses. Key technologies include TSV fabrication, micro-bumping, hybrid scheme making, hybrid bonding, wafer thinning and backside RDL formation were well developed and integrated to perform the 3D integration scheme. 5 mu m TSV, 10 mu m microbump, 20 mu m pitch, 40 mu m thin wafer, and 250 degrees C low temperature W2W hybrid bonding have been successfully integrated in the integration platform. The 3D scheme was characterized and assessed to have excellent electrical performance and reliability, and is potentially to be applied for 3D product applications.
URI: http://hdl.handle.net/11536/134747
ISBN: 978-1-4673-1965-2
期刊: 2012 IEEE 62ND ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC)
起始頁: 1
結束頁: 7
Appears in Collections:Conferences Paper