標題: DATC RDF-2019: Towards a Complete Academic Reference Design Flow
作者: Chen, Jianli
Jiang, Iris Hui-Ru
Jung, Jinwook
Kahng, Andrew B.
Kravets, Victor N.
Li, Yih-Lang
Lin, Shih-Ting
Woo, Mingyu
交大名義發表
National Chiao Tung University
公開日期: 1-一月-2019
摘要: We describe a new RDF-2019 release of the IEEE CEDA DATC Robust Design Flow (RDF). RDF-2019 enhances the DATC RDF to span the entire RTL-to-GDS IC implementation flow, from logic synthesis to detailed routing. The new release represents a significant revision of the previously-reported RDF-2018 flow. Noteworthy vertical extensions include addition of logic synthesis starting from pure behavioral RTL Verilog RTL; floorplanning that includes initial DEF creation, I/O placement and PDN layout generation; and clock tree synthesis between placement legalization and global routing. A number of horizontal extensions to RDF are achieved by incorporating additional tool options at the static timing analysis, global placement, gate sizing, and detailed routing stages of the flow. Further, for the first time, multiple open-source realizations of the entire RDF tool chain are available. Last, RDF-2019 provides significantly enhanced support of and interoperability with industry-standard tools and design formats (LEF/DEF, SPEF, Liberty, SDC, etc.). We illustrate the configuration and use of RDF-2019, with example results on open as well as commercial design enablements.
URI: http://hdl.handle.net/11536/154064
ISBN: 978-1-7281-2350-9
ISSN: 1933-7760
期刊: 2019 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD)
起始頁: 0
結束頁: 0
顯示於類別:會議論文