完整後設資料紀錄
DC 欄位語言
dc.contributor.authorYang, Shyh-Chyien_US
dc.contributor.authorYang, Hao-Ien_US
dc.contributor.authorChuang, Ching-Teen_US
dc.contributor.authorHwang, Weien_US
dc.date.accessioned2014-12-08T15:25:40Z-
dc.date.available2014-12-08T15:25:40Z-
dc.date.issued2009en_US
dc.identifier.isbn978-1-4244-2781-9en_US
dc.identifier.urihttp://hdl.handle.net/11536/18100-
dc.description.abstractThe threshold voltage (V(T)) drifts caused by Negative-Bias temperature Instability (NBTI) and Positive-Bias Temperature Instability (PBTI) degrade stability, margin, and performance of nanoscale SRAM over the lifetime of usage. Moreover, most state-of-the-art SRAMs employ replica timing control scheme to mitigate the effects of excessive leakage and variation, and NBTI/PBTI induced V(T) drifts can render the scheme ineffective or even useless. In this paper, we investigate impacts of NBTI and PBTI on SRAM Write operations based on PTM 32nm CMOS technology node poly-gate and high-k metal-gate models. We propose an NBTI/PBTI tolerant Write-replica timing control scheme to mitigate Write margin and performance degradation. By using multi-bank architecture and biasing the virtual supply line of inactive timing-critical circuits to GND to minimize the stress time and maximize the "Recovery" period, the NBTI/PBTI induced SRAM Write performance degradation can be reduced by around 32-48%.en_US
dc.language.isoen_USen_US
dc.titleTIMING CONTROL DEGRADATION AND NBTI/PBTI TOLERANT DESIGN FOR WRITE-REPLICA CIRCUIT IN NANOSCALE CMOS SRAMen_US
dc.typeProceedings Paperen_US
dc.identifier.journal2009 INTERNATIONAL SYMPOSIUM ON VLSI DESIGN, AUTOMATION AND TEST (VLSI-DAT), PROCEEDINGS OF TECHNICAL PROGRAMen_US
dc.citation.spage162en_US
dc.citation.epage165en_US
dc.contributor.department電子工程學系及電子研究所zh_TW
dc.contributor.departmentDepartment of Electronics Engineering and Institute of Electronicsen_US
dc.identifier.wosnumberWOS:000271941200041-
顯示於類別:會議論文