標題: Physical and electrical characteristics of methylsilane- and trimethylsilane-doped low dielectric constant chemical vapor deposited oxides
作者: Wu, ZC
Shiung, ZW
Chiang, CC
Wu, WH
Chen, MC
Jeng, SM
Chang, W
Chou, PF
Jang, SM
Yu, CH
Liang, MS
電子工程學系及電子研究所
Department of Electronics Engineering and Institute of Electronics
公開日期: 1-六月-2001
摘要: This work investigates the physical and electrical properties of two species of inorganic C-doped low dielectric constant (low-k) chemical vapor deposited (CVD) organosilicate glasses (OSGs, alpha -SiCO:H). They are both deposited by plasma-enhanced CVD (PECVD) processes using methylsilane [(CH3)SiH3, 1 MS]- and trimethylsilane [(CH3)(3)SiH, 3 MS]-based gases as the reagents, and are designated as OSG1 and OSG2, respectively. Experimental results indicate that the thermal stability temperature of OSG1 is 500 degreesC, while that of OSG2 is 600 degreesC, based on the results of thermal annealing for 30 min in an N-2 ambient. The deterioration of the low-k property in OSG1 is predominately due to the thermal decomposition at temperatures above 500 degreesC of methyl (-CH3) groups, which are introduced to lower the density and polarizability of OSGs. For the Cu-gated oxide-sandwiched low-k dielectric metal-insulator-semiconductor (MIS) capacitors, Cu permeation was observed in both OSG1 and OSG2 after the MIS capacitors were bias-temperature stressed at 150 degreesC with an effective applied field of 0.8 MV/cm. Moreover, Cu appeared to drift more readily in OSG1 than in OSG2, presumably because OSG1 has a more porous and less cross-linked structure than OSG2. The Cu penetration can be mitigated by a thin nitride dielectric barrier. (C) 2001 The Electrochemical Society.
URI: http://dx.doi.org/10.1149/1.1369373
http://hdl.handle.net/11536/29616
ISSN: 0013-4651
DOI: 10.1149/1.1369373
期刊: JOURNAL OF THE ELECTROCHEMICAL SOCIETY
Volume: 148
Issue: 6
起始頁: F127
結束頁: F132
顯示於類別:期刊論文


文件中的檔案:

  1. 000169131500049.pdf

若為 zip 檔案,請下載檔案解壓縮後,用瀏覽器開啟資料夾中的 index.html 瀏覽全文。