標題: A Metal-Only-ECO Solver for Input-Slew and Output-Loading Violations
作者: Lu, Chien-Pang
Chao, Mango Chia-Tso
Lo, Chen-Hsing
Chang, Chih-Wei
電子工程學系及電子研究所
Department of Electronics Engineering and Institute of Electronics
關鍵字: Engineering change order (ECO);physical design;slew/loading violation
公開日期: 1-二月-2010
摘要: To reduce the time-to-market and photomask cost for advanced process technologies, metal-only engineering change order (ECO) has become a practical and attractive solution to handle incremental design changes. Due to limited spare cells in metal-only ECO, the new added netlist may often violate the input-slew and output-loading constraints and, in turn, delay or even fail the timing closure. This paper presents a framework, named metal-only ECO slew/cap solver (MOESS), to resolve the input-slew and output-loading violations by connecting spare cells onto the violated nets as buffers. MOESS performs two buffer-insertion schemes in a sequential manner to first minimize the number of inserted buffers and then resolve timing violations, if any. The experimental results based on industrial designs demonstrate that MOESS can resolve more violations with fewer inserted buffers and less central processing unit runtime compared to an electronic design automation vendor's solution.
URI: http://dx.doi.org/10.1109/TCAD.2009.2040011
http://hdl.handle.net/11536/5898
ISSN: 0278-0070
DOI: 10.1109/TCAD.2009.2040011
期刊: IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS
Volume: 29
Issue: 2
起始頁: 240
結束頁: 245
顯示於類別:期刊論文


文件中的檔案:

  1. 000273928400008.pdf

若為 zip 檔案,請下載檔案解壓縮後,用瀏覽器開啟資料夾中的 index.html 瀏覽全文。