瀏覽 的方式: 作者 Huang, Po-Tsang

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 20 筆資料,總共 58 筆  下一頁 >
公開日期標題作者
20140.339fJ/bit/search Energy-Efficient TCAM Macro Design in 40nm LP CMOSHuang, Po-Tsang; Lai, Shu-Lin; Chuang, Ching-Te; Hwang, Wei; Huang, Jason; Hu, Angelo; Kan, Paul; Jia, Michael; Lv, Kimi; Zhang, Bright; 電機工程學系; Department of Electrical and Computer Engineering
1-一月-20180.4V Reconfigurable Near-Threshold TCAM in 28nm High-k Metal-Gate CMOS ProcessChan, Yun-Sheng; Huang, Po-Tsang; Wu, Shang-Lin; Lung, Sheng-Chi; Wang, Wei-Chang; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; 國際半導體學院; Department of Electronics Engineering and Institute of Electronics; International College of Semiconductor Technology
1-七月-2017A 0.5-V 28-nm 256-kb Mini-Array Based 6T SRAM With Vtrip-Tracking Write-AssistWu, Shang-Lin; Li, Kuang-Yu; Huang, Po-Tsang; Hwang, Wei; Tu, Ming-Hsien; Lung, Sheng-Chi; Peng, Wei-Sheng; Huang, Huan-Shun; Lee, Kuen-Di; Kao, Yung-Shin; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-四月-2017A 16-Gb/s 14.7-mW Tri-Band Cognitive Serial Link Transmitter With Forwarded Clock to Enable PAM-16/256-QAM and Channel Response DetectionDu, Yuan; Cho, Wei-Han; Huang, Po-Tsang; Li, Yilei; Wong, Chien-Heng; Du, Jieqiong; Kim, Yanghyo; Hu, Boyu; Du, Li; Liu, Chunchen; Lee, Sheau Jiung; Chang, Mau-Chung Frank; 交大名義發表; National Chiao Tung University
2016A 16Gb/s 14.7mW Tri-Band Cognitive Serial Link Transmitter with Forwarded Clock to Enable PAM-16 / 256-QAM and Channel Response Detection in 28 nm CMOSDu, Yuan; Cho, Wei-Han; Li, Yilei; Wong, Chien-Heng; Du, Jieqiong; Huang, Po-Tsang; Kim, Yanghyo; Chen, Zuow-Zun; Lee, Sheau Jiung; Chang, Mau-Chung Frank; 交大名義發表; National Chiao Tung University
20062-l.evel FIFO architecture design for switch fabrics in network-on-chipHuang, Po-Tsang; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-20142.5D Heterogeneously Integrated Bio-Sensing Microsystem for Multi-Channel Neural-Sensing ApplicationsHuang, Po-Tsang; Chou, Lei-Chun; Huang, Teng-Chieh; Wu, Shang-Lin; Wang, Tang-Shuan; Lin, Yu-Rou; Cheng, Chuan-An; Shen, Wen-Wei; Chen, Kuan-Neng; Chiou, Jin-Chern; Chuang, Ching-Te; Hwang, Wei; Chen, Kuo-Hua; Chiu, Chi-Tsung; Cheng, Ming-Hsiang; Lin, Yueh-Lung; Tong, Ho-Ming; 交大名義發表; National Chiao Tung University
1-十二月-20142.5D Heterogeneously Integrated Microsystem for High-Density Neural Sensing ApplicationsHuang, Po-Tsang; Wu, Shang-Lin; Huang, Yu-Chieh; Chou, Lei-Chun; Huang, Teng-Chieh; Wang, Tang-Hsuan; Lin, Yu-Rou; Cheng, Chuan-An; Shen, Wen-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Chiou, Jin-Chern; Hwang, Wei; Tong, Ho-Ming; 交大名義發表; National Chiao Tung University
2007A 256x128 energy-efficient TCAM with novel low power schemesHuang, Po-Tsang; Chang, Shu-Wei; Liu, Wen-Yen; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-201828nm Near/Sub-Threshold Dual-Port FIFO Memory for Shared Queues in Multi-Sensor ApplicationsWu, Yi-Chun; Huang, Po-Tsang; Wu, Shang-Lin; Lung, Sheng-Chi; Wang, Wei-Chang; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; 國際半導體學院; Department of Electronics Engineering and Institute of Electronics; International College of Semiconductor Technology
201628nm Ultra-Low Power Near-/Sub- threshold First-In-First-Out (FIFO) Memory for Multi-Bio-Signal Sensing PlatformsHsu, Wei-Shen; Huang, Po-Tsang; Wu, Shang-Lin; Chuang, Ching-Te; Hwang, Wei; Tu, Ming-Hsien; Yin, Ming-Yu; 電子工程學系及電子研究所; 電機工程學系; Department of Electronics Engineering and Institute of Electronics; Department of Electrical and Computer Engineering
2016A 38mW 40Gb/s 4-Lane Tri-Band PAM-4 / 16-QAM Transceiver in 28nm CMOS for High-Speed Memory InterfaceCho, Wei-Han; Li, Yilei; Du, Yuan; Wong, Chien-Heng; Du, Jieqiong; Huang, Po-Tsang; Lee, Sheau Jiung; Chen, Huan-Neng; Jou, Chewn-Pu; Hsueh, Fu-Lung; Chang, Mau-Chung Frank; 交大名義發表; National Chiao Tung University
2008A 5.2mW all-digital fast-lock self-calibrated multiphase delay-locked loopChuang, Li-Pu; Chang, Ming-Hung; Huang, Po-Tsang; Kan, Chih-Hao; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2017A 64-CHANNEL WIRELESS NEURAL SENSING MICROSYSTEM WITH TSV-EMBEDDED MICRO-PROBE ARRAY FOR NEURAL SIGNAL ACQUISITIONHuang, Yu-Chieh; Huang, Po-Tsang; Hu, Yu-Chen; Wu, Shang-Lin; You, Yan-Huei; Wang, Yung-Kuei; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern; 生物科技學系; 電機工程學系; 電控工程研究所; 國際半導體學院; Department of Biological Science and Technology; Department of Electrical and Computer Engineering; Institute of Electrical and Control Engineering; International College of Semiconductor Technology
1-二月-2011A 65 nm 0.165 fJ/Bit/Search 256 x 144 TCAM Macro Design for IPv6 Lookup TablesHuang, Po-Tsang; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007A 65nm low power 2T1D embedded DRAM with leakage current reductionChang, Mu-Tien; Huang, Po-Tsang; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2019A 7.5-mW 10-Gb/s 16-QAM Wireline Transceiver with Carrier Synchronization and Threshold Calibration for Mobile Inter-chip Communications in 16-nm FinFETDu, Jieqiong; Wong, Chien-Heng; Tu, Yo-Hao; Cho, Wei-Han; Li, Yilei; Du, Yuan; Huang, Po-Tsang; Lee, Sheau-Jiung; Chang, Mau-Chung Frank; 交大名義發表; National Chiao Tung University
2009An Adaptive Congestion-Aware Routing Algorithm for Mesh Network-on-Chip PlatformHuang, Po-Tsang; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-四月-2017An Advanced 2.5-D Heterogeneous Integration Packaging for High-Density Neural Sensing MicrosystemHu, Yu-Chen; Huang, Yu-Chieh; Huang, Po-Tsang; Wu, Shang-Lin; Chang, Hsiao-Chun; Yang, Yu-Tao; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chuang, Ching-Te; Chiou, Jin-Chern; Chen, Kuan-Neng; 生物科技學系; 電子工程學系及電子研究所; 電控工程研究所; Department of Biological Science and Technology; Department of Electronics Engineering and Institute of Electronics; Institute of Electrical and Control Engineering
2015All Digitally Controlled Linear Voltage Regulator with PMOS Strength Self-Calibration for Ripple ReductionKuo, Yi-Ping; Huang, Po-Tsang; Wu, Chung-Shiang; Liang, Yu-Jie; Chuang, Ching-Te; Chu, Yuan-Hua; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics