瀏覽 的方式: 作者 Lei, TF

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 20 筆資料,總共 132 筆  下一頁 >
公開日期標題作者
20052-bit poly-Si-TFT nonvolatile memory using hafnium oxide, hafnium silicate and zirconium silicateLin, YH; Chien, CH; Chou, TH; Chao, TS; Chang, CY; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-五月-2006Annealing temperature effect on the performance of nonvolatile HfO2Si-oxide-nitride-oxide-silicon-type flash memoryLin, YH; Chien, CH; Chang, CY; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2004Carrier transportation of rapid thermal annealed CeO2 gate dielectricsWang, JC; Chiang, KC; Lei, TF; Lee, CL; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2005CF4 plasma treatment for fabricating high-performance and reliable solid-phase-crystallized poly-Si TFTsWang, SD; Lo, WH; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2004Characteristics improvement and carrier transportation of CeO2 gate dielectrics with rapid thermal annealingWang, JC; Chiang, KC; Lei, TF; Lee, CL; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-五月-2002Characteristics of polycrystalline silicon thin-film transistors with electrical source/drain extensions induced by a bottom sub-gateYu, M; Lin, HC; Chen, GH; Huang, TY; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-八月-1997The characteristics of polysilicon oxide grown on amorphous silicon deposited from disilaneLin, YM; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-八月-2000Characteristics of polysilicon oxides combining N2O nitridation and CMP processesLei, TF; Chen, JH; Wang, MF; Chao, TS; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-十一月-2000Characteristics of TEOS polysilicon oxides: Improvement by CMP and high temperature RTA N-2/N2O annealingChen, JH; Lei, TF; Chen, JH; Chao, TS; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-十月-1996Characteristics of top-gate polysilicon thin-film transistors fabricated on fluorine-implanted and crystallized amorphous silicon filmsYang, CK; Lei, TF; Lee, CL; 交大名義發表; 電子工程學系及電子研究所; National Chiao Tung University; Department of Electronics Engineering and Institute of Electronics
1-一月-2003Characteristics of vertical thermal/PECVD polysilicon oxides formed on the sidewall of polysilicon filmsLee, MZ; Chang, YA; Lee, CL; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-四月-1998Characterization of polysilicon oxides thermally grown and deposited on the polished polysilicon filmsLei, TF; Cheng, JY; Shiau, SY; Chao, TS; Lai, CS; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-八月-2005Characterization of polysilicon thin-film transistors with asymmetric source/drain implantationShieh, MS; Lin, YJ; Yu, CM; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-十月-2003Characterization of temperature dependence for HfO2 gate dielectrics treated in NH3 plasmaWang, JC; Shie, DC; Lei, TF; Lee, CL; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-二月-1996Characterization of thin textured tunnel oxide prepared by thermal oxidation of thin polysilicon film on siliconWu, SL; Chiao, DM; Lee, CL; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-五月-2001Characterization of ultrathin oxynitride (18-21 angstrom) gate dielectrics by NH3 nitridation and N2O RTA treatmentPan, TM; Lei, TF; Wen, HC; Chao, TS; 電子工程學系及電子研究所; 奈米中心; Department of Electronics Engineering and Institute of Electronics; Nano Facility Center
2006The CMP process and cleaning solution for planarization of strain-relaxed SiGe virtual substrates in MOSFET applicationsShieh, MS; Chen, PS; Tsai, MJ; Lei, TF; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-七月-1998Comparison of N-2 and NH3 plasma passivation effects on polycrystalline silicon thin-film transistorsLee, YS; Lin, HY; Lei, TF; Huang, TY; Chang, TC; Chang, CY; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-十一月-2001Comparison of novel cleaning solutions, with various chelating agents for post-CMP cleaning on poly-Si filmPan, TM; Lei, TF; Ko, FH; Chao, TS; Chiu, TH; Lee, YH; Lu, CP; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
15-三月-2001Comparison of ultrathin CoTiO3 and NiTiO3 high-k gate dielectricsPan, TM; Lei, TF; Chao, TS; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics