瀏覽 的方式: 作者 Wu, Shang-Lin

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 20 筆資料,總共 39 筆  下一頁 >
公開日期標題作者
五月-2016A 0.35 V, 375 kHz, 5.43 mu W, 40 nm, 128 kb, symmetrical 10T subthreshold SRAM with tri-state bit-lineWu, Shang-Lin; Lu, Chien-Yu; Tu, Ming-Hsien; Huang, Huan-Shun; Lee, Kuen-Di; Kao, Yung-Shin; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-20180.4V Reconfigurable Near-Threshold TCAM in 28nm High-k Metal-Gate CMOS ProcessChan, Yun-Sheng; Huang, Po-Tsang; Wu, Shang-Lin; Lung, Sheng-Chi; Wang, Wei-Chang; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; 國際半導體學院; Department of Electronics Engineering and Institute of Electronics; International College of Semiconductor Technology
1-七月-2017A 0.5-V 28-nm 256-kb Mini-Array Based 6T SRAM With Vtrip-Tracking Write-AssistWu, Shang-Lin; Li, Kuang-Yu; Huang, Po-Tsang; Hwang, Wei; Tu, Ming-Hsien; Lung, Sheng-Chi; Peng, Wei-Sheng; Huang, Huan-Shun; Lee, Kuen-Di; Kao, Yung-Shin; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-20142.5D Heterogeneously Integrated Bio-Sensing Microsystem for Multi-Channel Neural-Sensing ApplicationsHuang, Po-Tsang; Chou, Lei-Chun; Huang, Teng-Chieh; Wu, Shang-Lin; Wang, Tang-Shuan; Lin, Yu-Rou; Cheng, Chuan-An; Shen, Wen-Wei; Chen, Kuan-Neng; Chiou, Jin-Chern; Chuang, Ching-Te; Hwang, Wei; Chen, Kuo-Hua; Chiu, Chi-Tsung; Cheng, Ming-Hsiang; Lin, Yueh-Lung; Tong, Ho-Ming; 交大名義發表; National Chiao Tung University
1-十二月-20142.5D Heterogeneously Integrated Microsystem for High-Density Neural Sensing ApplicationsHuang, Po-Tsang; Wu, Shang-Lin; Huang, Yu-Chieh; Chou, Lei-Chun; Huang, Teng-Chieh; Wang, Tang-Hsuan; Lin, Yu-Rou; Cheng, Chuan-An; Shen, Wen-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Chiou, Jin-Chern; Hwang, Wei; Tong, Ho-Ming; 交大名義發表; National Chiao Tung University
1-一月-201828nm Near/Sub-Threshold Dual-Port FIFO Memory for Shared Queues in Multi-Sensor ApplicationsWu, Yi-Chun; Huang, Po-Tsang; Wu, Shang-Lin; Lung, Sheng-Chi; Wang, Wei-Chang; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; 國際半導體學院; Department of Electronics Engineering and Institute of Electronics; International College of Semiconductor Technology
201628nm Ultra-Low Power Near-/Sub- threshold First-In-First-Out (FIFO) Memory for Multi-Bio-Signal Sensing PlatformsHsu, Wei-Shen; Huang, Po-Tsang; Wu, Shang-Lin; Chuang, Ching-Te; Hwang, Wei; Tu, Ming-Hsien; Yin, Ming-Yu; 電子工程學系及電子研究所; 電機工程學系; Department of Electronics Engineering and Institute of Electronics; Department of Electrical and Computer Engineering
1-一月-2017A 64-CHANNEL WIRELESS NEURAL SENSING MICROSYSTEM WITH TSV-EMBEDDED MICRO-PROBE ARRAY FOR NEURAL SIGNAL ACQUISITIONHuang, Yu-Chieh; Huang, Po-Tsang; Hu, Yu-Chen; Wu, Shang-Lin; You, Yan-Huei; Wang, Yung-Kuei; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern; 生物科技學系; 電機工程學系; 電控工程研究所; 國際半導體學院; Department of Biological Science and Technology; Department of Electrical and Computer Engineering; Institute of Electrical and Control Engineering; International College of Semiconductor Technology
1-四月-2017An Advanced 2.5-D Heterogeneous Integration Packaging for High-Density Neural Sensing MicrosystemHu, Yu-Chen; Huang, Yu-Chieh; Huang, Po-Tsang; Wu, Shang-Lin; Chang, Hsiao-Chun; Yang, Yu-Tao; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chuang, Ching-Te; Chiou, Jin-Chern; Chen, Kuan-Neng; 生物科技學系; 電子工程學系及電子研究所; 電控工程研究所; Department of Biological Science and Technology; Department of Electronics Engineering and Institute of Electronics; Institute of Electrical and Control Engineering
1-一月-2016Area-Power-Efficient 11-Bit Hybrid Dual-Vdd ADC with Self-Calibration for Neural Sensing ApplicationChen, Jr-Ming; Huang, Po-Tsang; Wu, Shang-Lin; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2013Area-Power-Efficient 11-Bit SAR ADC with Delay-Line Enhanced Tuning for Neural Sensing ApplicationsHuang, Teng-Chieh; Huang, Po-Tsang; Wu, Shang-Lin; Chen, Kuan-Neng; Chiou, Jin-Chern; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2015Assessment of Mental Fatigue: An EEG-based Forecasting System for Driving SafetyLiu, Yu-Ting; Lin, Yang-Yin; Wu, Shang-Lin; Hsieh, Tsung-Yu; Lin, Chin-Teng; 電控工程研究所; 腦科學研究中心; Institute of Electrical and Control Engineering; Brain Research Center
二月-2016Brain Dynamics in Predicting Driving Fatigue Using a Recurrent Self-Evolving Fuzzy Neural NetworkLiu, Yu-Ting; Lin, Yang-Yin; Wu, Shang-Lin; Chuang, Chun-Hsiang; Lin, Chin-Teng; 電控工程研究所; 腦科學研究中心; Institute of Electrical and Control Engineering; Brain Research Center
2013Common Spatial Pattern and Linear Discriminant Analysis for Motor Imagery ClassificationWu, Shang-Lin; Wu, Chun-Wei; Pal, Nikhil R.; Chen, Chih-Yu; Chen, Shi-An; Lin, Chin-Teng; 電控工程研究所; Institute of Electrical and Control Engineering
1-八月-2013Controlling a Human-Computer Interface System With a Novel Classification Method that Uses Electrooculography SignalsWu, Shang-Lin; Liao, Lun-De; Lu, Shao-Wei; Jiang, Wei-Ling; Chen, Shi-An; Lin, Chin-Teng; 影像與生醫光電研究所; 電控工程研究所; 腦科學研究中心; Institute of Imaging and Biomedical Photonics; Institute of Electrical and Control Engineering; Brain Research Center
2012Design of the Multi-channel Electroencephalography-based Brain-Computer Interface with Novel Dry SensorsWu, Shang-Lin; Liao, Lun-De; Liou, Chang-Hong; Chen, Shi-An; Ko, Li-Wei; Chen, Bo-Wei; Wang, Po-Sheng; Chen, Sheng-Fu; Lin, Chin-Teng; 電控工程研究所; Institute of Electrical and Control Engineering
1-二月-2015A double-sided, single-chip integration scheme using through-silicon-via for neural sensing applicationsChang, Chih-Wei; Chou, Lei-Chun; Huang, Po-Tsang; Wu, Shang-Lin; Lee, Shih-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Hwang, Wei; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chiou, Jin-Chern; 交大名義發表; National Chiao Tung University
2016Driving Fatigue Prediction with Pre-Event Electroencephalography (EEG) via a Recurrent Fuzzy Neural NetworkLiu, Yu-Ting; Wu, Shang-Lin; Chou, Kuang-Pen; Lin, Yang-Yin; Lu, Jie; Zhang, Guangquan; Lin, Wen-Chieh; Lin, Chin-Teng; 資訊工程學系; 電控工程研究所; 腦科學研究中心; Department of Computer Science; Institute of Electrical and Control Engineering; Brain Research Center
2014EEG-based Driving Fatigue Prediction System Using Functional-link-based Fuzzy Neural NetworkLiu, Yu-Ting; Lin, Yang-Yin; Wu, Shang-Lin; Chuang, Chun-Hsiang; Prasad, Mukesh; Lin, Chin-Teng; 資訊工程學系; 電控工程研究所; 腦科學研究中心; Department of Computer Science; Institute of Electrical and Control Engineering; Brain Research Center
1-一月-2014Energy-Efficient Low-Noise 16-Channel Analog-Front-End Circuit for Bio-potential AcquisitionWu, Shang-Lin; Huang, Po-Tsang; Huang, Teng-Chieh; Chen, Kuan-Neng; Chiou, Jin-Chern; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics