標題: IEEE standard 1500 compatible interconnect diagnosis for delay and crosstalk faults
作者: Li, Katherine Shu-Min
Su, Chauchin
Chang, Yao-Wen
Lee, Chung-Len
Chen, Jwu E.
電控工程研究所
Institute of Electrical and Control Engineering
關鍵字: crosstalk fault;delay fault;fault diagnosis;interconnections;oscillation ring (OR) test scheme
公開日期: 1-Nov-2006
摘要: An interconnect diagnosis scheme based on the oscillation ring (OR) test methodology for systems-on-chip (SOC) design with heterogeneous cores is proposed. In addition to traditional stuck-at and open faults, the OR test can also detect and diagnose important interconnect faults such as delay faults and crosstalk glitches. The large number of test rings in the SOC design, however, significantly complicates the interconnect diagnosis problem. In this paper, the diagnosability of an interconnect structure is first analyzed then a fast diagnosability checking algorithm and an efficient diagnosis ring generation algorithm are proposed. It is shown in this paper that the generation algorithm achieves the maximum diagnosability for any interconnect. Two optimization techniques are also proposed, an adaptive and a concurrent diagnosis method, to improve the efficiency and effectiveness of interconnect diagnosis. Experiments on the MCNC benchmark circuits show the effectiveness of the proposed diagnosis algorithms. In all experiments, the method achieves 100% fault detection coverage and the optimal interconnect diagnosis resolution.
URI: http://dx.doi.org/10.1109/TCAD.2006.881330
http://hdl.handle.net/11536/11606
ISSN: 0278-0070
DOI: 10.1109/TCAD.2006.881330
期刊: IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS
Volume: 25
Issue: 11
起始頁: 2513
結束頁: 2525
Appears in Collections:Articles


Files in This Item:

  1. 000241567000018.pdf

If it is a zip file, please download the file and unzip it, then open index.html in a browser to view the full text content.