完整後設資料紀錄
DC 欄位語言
dc.contributor.author林俊良en_US
dc.contributor.authorLin, Jiun-Liangen_US
dc.contributor.author賴伯承en_US
dc.contributor.authorLai, Bo-Chengen_US
dc.date.accessioned2015-11-26T01:02:00Z-
dc.date.available2015-11-26T01:02:00Z-
dc.date.issued2015en_US
dc.identifier.urihttp://140.113.39.130/cdrfb3/record/nctu/#GT070250242en_US
dc.identifier.urihttp://hdl.handle.net/11536/127121-
dc.language.isoen_USen_US
dc.subject可程式閘陣列zh_TW
dc.subject多埠記憶體zh_TW
dc.subject有效的使用嵌入式區塊記憶體zh_TW
dc.subject平行zh_TW
dc.subjectFPGAen_US
dc.subjectmulti-ported memoryen_US
dc.subjectBRAM efficienten_US
dc.subjectParallelen_US
dc.title應用於可程式閘陣列之多埠記憶體設計zh_TW
dc.titleEfficient Designs of Multi-ported Memory on FPGAen_US
dc.typeThesisen_US
dc.contributor.department電子工程學系 電子研究所zh_TW
顯示於類別:畢業論文