Full metadata record
DC FieldValueLanguage
dc.contributor.authorJung, Jinwooken_US
dc.contributor.authorJiang, Iris Hui-Ruen_US
dc.contributor.authorChen, Jianlien_US
dc.contributor.authorLin, Shih-Tingen_US
dc.contributor.authorLi, Yih-Langen_US
dc.contributor.authorKravets, Victor N.en_US
dc.contributor.authorNam, Gi-Joonen_US
dc.date.accessioned2019-12-13T01:12:53Z-
dc.date.available2019-12-13T01:12:53Z-
dc.date.issued2018-01-01en_US
dc.identifier.isbn978-1-4503-5950-4en_US
dc.identifier.issn1933-7760en_US
dc.identifier.urihttp://dx.doi.org/10.1145/3240765.3272126en_US
dc.identifier.urihttp://hdl.handle.net/11536/153312-
dc.description.abstractIn this paper, we present DATC Robust Design Flow (RDF) from logic synthesis to detailed routing. We further include detailed placement and detailed routing tools based on recent EDA research contests. We also demonstrate RDF in a scalable cloud infrastructure. Design methodology and cross-stage optimization research can be conducted via RDF.en_US
dc.language.isoen_USen_US
dc.subjectVLSI design flowen_US
dc.subjectCAD contesten_US
dc.subjectphysical designen_US
dc.titleDATC RDF: An Academic Flow from Logic Synthesis to Detailed Routingen_US
dc.typeProceedings Paperen_US
dc.identifier.doi10.1145/3240765.3272126en_US
dc.identifier.journal2018 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) DIGEST OF TECHNICAL PAPERSen_US
dc.citation.spage0en_US
dc.citation.epage0en_US
dc.contributor.department交大名義發表zh_TW
dc.contributor.departmentNational Chiao Tung Universityen_US
dc.identifier.wosnumberWOS:000494640800037en_US
dc.citation.woscount0en_US
Appears in Collections:Conferences Paper