標題: Testing Strategies for a 9T Sub-threshold SRAM
作者: Yang, Hao-Yu
Lin, Chen-Wei
Chen, Hung-Hsin
Chao, Mango C. -T.
Tu, Ming-Hsien
Jou, Shyh-Jye
Chuang, Ching-Te
電子工程學系及電子研究所
Department of Electronics Engineering and Institute of Electronics
公開日期: 2012
摘要: Due to the increasing demands of lower-power devices, a lot of research effort has been devoted to develop new SRAM cell designs that can be effectively and economically operated at the subthreshold region. However, each new SRAM cell design has its own cell structure and design techniques, which may result in different faulty behaviors than the conventional 6T SRAMs and require specialized test methods to detect those uncovered fault models. In this paper, we focus on developing the test methods for testing a new 9T subthreshold SRAM design, which utilizes single bit-line read/write, two write word-lines for writing different values, and a separate read path. A mixed march algorithm with different background and address-traverse directions is proposed to detect various uncovered fault models and validated through real test chips. A new specialized technique of floating bit-line attacking is also presented to detect the stability faults, which cannot be effectively detected by applying the conventional test methods, for the new 9T SRAM design.
URI: http://hdl.handle.net/11536/21588
ISBN: 978-1-4673-1595-1
ISSN: 1089-3539
期刊: PROCEEDINGS INTERNATIONAL TEST CONFERENCE 2012
顯示於類別:會議論文