完整後設資料紀錄
DC 欄位語言
dc.contributor.authorLin, Chen-Weien_US
dc.contributor.authorChen, Hung-Hsinen_US
dc.contributor.authorYang, Hao-Yuen_US
dc.contributor.authorChao, Mango C-Ten_US
dc.contributor.authorHuang, Rei-Fuen_US
dc.date.accessioned2014-12-08T15:32:45Z-
dc.date.available2014-12-08T15:32:45Z-
dc.date.issued2010en_US
dc.identifier.isbn978-1-4244-7205-5en_US
dc.identifier.issn1089-3539en_US
dc.identifier.urihttp://hdl.handle.net/11536/22890-
dc.description.abstractDue to the increasing demand of an extra-low-power system, a great amount of research effort has been spent in the past to develop an effective and economic subthreshold-SRAM design. However, the test methods regarding those newly developed subthreshold-SRAM designs have not yet been fully discussed. In this paper, we first categorize the subthreshold-SRAM designs into three types, study the faulty behavior of different open defects for each type of designs, and then identify the faults which may or may not be covered by a traditional SRAM test method. For those hard-to-detect faults, we will further discuss the corresponding test method according to different each type of subthreshold-SRAM designs. At last, a discussion about the temperature at test will also be provided.en_US
dc.language.isoen_USen_US
dc.titleFault Models and Test Methods for Subthreshold SRAMsen_US
dc.typeArticleen_US
dc.identifier.journalINTERNATIONAL TEST CONFERENCE 2010en_US
dc.contributor.department電子工程學系及電子研究所zh_TW
dc.contributor.departmentDepartment of Electronics Engineering and Institute of Electronicsen_US
dc.identifier.wosnumberWOS:000287978200047-
顯示於類別:會議論文