標題: 極紫外光輻射對先進非揮發性記憶體的影響
Effect of Extreme Ultra-Violet Radiation on Advanced Non-volatile Memories
作者: 顏志展
Yen, Chih-Chan
崔秉鉞
Tsui, Bing-Yue
電子研究所
關鍵字: 極紫外光;極紫外光微影;非揮發性記憶體;輻射傷害;矽/氧/氮/氧/矽;奈米晶粒記憶體;Extreme Ultraviolet;EUV;Extreme Ultraviolet Lithography;EUVL;Non-volatile Memory;SONOS;nano-crystal memory;radiation damage
公開日期: 2009
摘要: 在本論文中,我們研究極紫外光對非揮發性記憶體照射產生的傷害進行研究,主要分為在薄膜電晶體(TFT)基板上的矽/氧/氮/氧/矽(SONOS)記憶體與多閘極氮化鈦奈米晶粒(TiN NC)記憶體兩類。 這兩類記憶體元件的臨界電壓值皆隨著照射時間增長而逐漸地減少,顯示在極紫外光照射時,閘極介電層中有少量的正電荷產生。 在TFT-SONOS記憶體中,寫入狀態的臨界電壓值在記憶窗口特性中稍微的上升,表示有一些新的補陷產生。經過長時間的室溫存放,寫入及抹除狀態的臨界電壓可回復至照射前的值,顯示輻射產生的補陷可以隨著時間自我修復。我們擷取補陷密度的能量分佈,其結果可支持補陷密度增加又回復的解釋。照射後寫入速度提昇但抹除速度減緩,不過這些現象同樣地經過長時間存放後可以回復。在高抹除電壓時可觀察到抹除飽和現象,推測是由於照射傷害到阻擋層所造成。受照射元件在儲存資料持久性的表現上沒有明顯的劣化。耐久度的測試則嚴重劣化,特別在抹除狀態的部份,顯示劣化的阻擋層造成強烈的背向電子注入發生。這個劣化現象在經過攝氏六百度退火後仍無法回復。 在多閘極氮化鈦奈米晶粒記憶體中,幾乎所有記憶體特性皆未被極紫外光輻射影響,顯示奈米晶粒記憶體相對於SONOS記憶體擁有較好的輻射抵抗能力,這主要是因為兩者儲存電荷機制的差異。此研究顯示極紫外光微影技術對於先進奈米晶粒記憶體的進一步微縮是一個可能的解決方法並且不會有可靠性的問題發生。SONOS記憶體則需要進一步的研究,以改善對EUV輻射損傷的抵抗能力。
In this thesis, the effects of extreme ultra-violate (EUV) irradiation on the characteristics of the thin film transistor (TFT) Silicon-Oxide-Nitride-Oxide-Silicon (SONOS) non-volatile memory (NVM) and multi-gate TiN nano-crystal (NC) NVM are investigated. Both memory devices exhibit a gradually reduction of threshold voltage (Vt) with irradiation time, which indicates that a small amount of the net positive charges are generated in the stacked dielectric during EUV irradiaiton. On the TFT-SONOS memory, The Vt values in the program state increase slightly after EUV irradiation, which implies that a few new traps are generated. After long-term storage at room temperature, the Vt values in both program and erase states recover to the pre-irradiation values, which indicates the EUV irradiation generated traps can be self-annealed with time. The extracted energy distribution of trap density in the Si3N4 charge trapping layer confirms the above explanation. Erase saturation is observed at high erase voltage operation because the blocking layer is damaged by EUV irradiation. The program speed increases but the erase speed decreases. However, these phenomena can also be recovered after long-term storage. The charge retention characteristic does not degrade significantly. The endurance degrades severely, especially in the erase state, which implies the strong electron backside injection occurs due to the degradation of blocking layer. This degradation cannot be recovered after 600□C annealing. On the multi-gate TiN NC memory, almost all the memory characteristics are not affected by the EUV irradiation. It exhibits the NC memory has much better EUV radiation immunity than the SONOS memory due to the difference in the charge trapping mechanisms. This work suggests that the EUV lithography could be a potential solution for advanced NC memories to further scaling-down without reliability issue. Further study on the SONOS memory is required in order to improve the EUV radiation damage immunity.
URI: http://140.113.39.130/cdrfb3/record/nctu/#GT079711554
http://hdl.handle.net/11536/44255
顯示於類別:畢業論文


文件中的檔案:

  1. 155401.pdf

若為 zip 檔案,請下載檔案解壓縮後,用瀏覽器開啟資料夾中的 index.html 瀏覽全文。