完整後設資料紀錄
DC 欄位語言
dc.contributor.authorLee, Yao-Lenen_US
dc.contributor.authorTsai, Bo-Anen_US
dc.contributor.authorCho, Ta-Chunen_US
dc.contributor.authorHsueh, Fu-Kuoen_US
dc.contributor.authorSung, Po-Jungen_US
dc.contributor.authorLai, Chiung-Huien_US
dc.contributor.authorLuo, Chih-Weien_US
dc.contributor.authorChao, Tien-Shengen_US
dc.date.accessioned2017-04-21T06:49:28Z-
dc.date.available2017-04-21T06:49:28Z-
dc.date.issued2014en_US
dc.identifier.isbn978-1-4799-5038-6en_US
dc.identifier.issn2159-3523en_US
dc.identifier.urihttp://hdl.handle.net/11536/136239-
dc.description.abstractLow temperature microwave annealing (MWA) for IC processing is promising. In this study, using microwave annealing for dopant activation and thermal stability of the high-k/metal gate is investigated. Implanted species, such as phosphorus, arsenic, and boron, can also be well-activated and diffusionless in Si after microwave annealing. The flat band voltage shift of metal gate was suppressed due to the low temperature process. The increases in equivalent oxide thickness (EOT) of the MOS devices after dopant activation processing can be eliminated by using low temperature MW A. In addition, the short channel effects in n & pMOSFETs annealed by MW A can be also improved due to the suppression of dopant diffusion and stabilization of EOT.en_US
dc.language.isoen_USen_US
dc.titleLow-temperature Microwave Annealing Processes for Future IC Fabricationen_US
dc.typeProceedings Paperen_US
dc.identifier.journal2014 IEEE INTERNATIONAL NANOELECTRONICS CONFERENCE (INEC)en_US
dc.contributor.department電子物理學系zh_TW
dc.contributor.departmentDepartment of Electrophysicsen_US
dc.identifier.wosnumberWOS:000383011300053en_US
dc.citation.woscount0en_US
顯示於類別:會議論文