完整後設資料紀錄
DC 欄位語言
dc.contributor.authorLee, Yao-Jenen_US
dc.contributor.authorCho, Ta-Chunen_US
dc.contributor.authorChuang, Shang-Shiunen_US
dc.contributor.authorHsueh, Fu-Kuoen_US
dc.contributor.authorLu, Yu-Lunen_US
dc.contributor.authorSung, Po-Jungen_US
dc.contributor.authorChen, Hsiu-Chihen_US
dc.contributor.authorCurrent, Michael I.en_US
dc.contributor.authorTseng, Tseung-Yuenen_US
dc.contributor.authorChao, Tien-Shengen_US
dc.contributor.authorHu, Chenmingen_US
dc.contributor.authorYang, Fu-Liangen_US
dc.date.accessioned2014-12-08T15:35:29Z-
dc.date.available2014-12-08T15:35:29Z-
dc.date.issued2014-03-01en_US
dc.identifier.issn0018-9383en_US
dc.identifier.urihttp://dx.doi.org/10.1109/TED.2014.2300898en_US
dc.identifier.urihttp://hdl.handle.net/11536/24017-
dc.description.abstractMicrowave annealing (MWA) and rapid thermal annealing (RTA) of dopants in implanted Si are compared in their abilities to produce very shallow and highly activated junctions. First, arsenic (As), phosphorus (P), and BF2 implants in Si substrate were annealed by MWA at temperatures below 550 degrees C. Next, enhancing the substitutional carbon concentration ([C] sub) by cluster carbon implantation in (100) Si substrates with MWA or RTA techniques was investigated. Annealing temperatures and time effects were studied. Different formation mechanisms of SiCx layer were observed. In addition, substrate temperature is an important factor for dopant activation during MWA and in situ doped a-Si on oxide/Si substrate or glass were compared to elucidate the substrate temperature effect. After the discussion of dopant activation in Si substrates, low temperature formation of ultrathin NiGe layer is presented. Ultrathin NiGe films with low sheet resistance have been demonstrated with a novel two-step MWA process. In the two-step MWA process, the first step anneals the sample with low power MWA, and the second step applies higher power MWA for reducing sheet resistance. During fixed-frequency microwave heating, standing wave patterns may be present in the MWA chamber resulting in nodes and antinodes and thermal variations over the process wafer. Therefore, the effects of Si or quartz susceptor wafers on dopant activation and sheet resistance uniformity during fixed-frequency MWA were investigated.en_US
dc.language.isoen_USen_US
dc.subjectDopant activationen_US
dc.subjectlow temperatureen_US
dc.subjectmicrowaveen_US
dc.subjectmicrowave annealing (MWA)en_US
dc.subjectNiGeen_US
dc.subjectsolid phase epitaxial growth (SPEG)en_US
dc.subjecttemperature effecten_US
dc.subjectuniformityen_US
dc.titleLow-Temperature Microwave Annealing Processes for Future IC Fabrication-A Reviewen_US
dc.typeReviewen_US
dc.identifier.doi10.1109/TED.2014.2300898en_US
dc.identifier.journalIEEE TRANSACTIONS ON ELECTRON DEVICESen_US
dc.citation.volume61en_US
dc.citation.issue3en_US
dc.citation.spage651en_US
dc.citation.epage665en_US
dc.contributor.department電子物理學系zh_TW
dc.contributor.department電子工程學系及電子研究所zh_TW
dc.contributor.departmentDepartment of Electrophysicsen_US
dc.contributor.departmentDepartment of Electronics Engineering and Institute of Electronicsen_US
dc.identifier.wosnumberWOS:000332040700001-
dc.citation.woscount1-
顯示於類別:期刊論文


文件中的檔案:

  1. 000332040700001.pdf

若為 zip 檔案,請下載檔案解壓縮後,用瀏覽器開啟資料夾中的 index.html 瀏覽全文。