標題: Parallel Architecture Core (PAC)-the First Multicore Application Processor SoC in Taiwan Part I: Hardware Architecture & Software Development Tools
作者: Chang, David Chih-Wei
Lin, Tay-Jyi
Wu, Chung-Ju
Lee, Jenq-Kuen
Chu, Yuan-Hua
Wu, An-Yeu
交大名義發表
National Chiao Tung University
關鍵字: Parallel Architecture Core;PACDSP;VLIW DSP;VLIW compiler;DVFS;Heterogeneous multicore;Application processor
公開日期: 1-Mar-2011
摘要: In order to develop a low-power and high-performance SoC platform for multimedia applications, the Parallel Architecture Core (PAC) project was initiated in Taiwan in 2003. A VLIW digital signal processor (PACDSP) has been developed from a proprietary instruction set with multimedia-rich instructions, a complexity-effective microarchitecture with an innovative distributed & ping-pong register organization and variable-length VLIW encoding, to a highly-configurable soft IP with several successful silicon implementations. A complete toolchain with an optimizing C compiler has also been developed for PACDSP. A dual-core PAC SoC has been designed and fabricated, which consists of a PACDSP core, an ARM9 core, scratchpad memories, and various on-chip peripherals, to demonstrate the outstanding performance and energy efficiency for multimedia processing such as the real-time H.264 codec. The first part of the two introductory papers of PAC describes the hardware architecture of the PACDSP core, its software development tools, and the PAC SoC with dynamic voltage and frequency scaling (DVFS).
URI: http://dx.doi.org/10.1007/s11265-010-0470-0
http://hdl.handle.net/11536/9220
ISSN: 1939-8018
DOI: 10.1007/s11265-010-0470-0
期刊: JOURNAL OF SIGNAL PROCESSING SYSTEMS FOR SIGNAL IMAGE AND VIDEO TECHNOLOGY
Volume: 62
Issue: 3
起始頁: 373
結束頁: 382
Appears in Collections:Articles


Files in This Item:

  1. 000288023400009.pdf

If it is a zip file, please download the file and unzip it, then open index.html in a browser to view the full text content.