Title: Two-Level FIFO Buffer Design for Routers in On-Chip Interconnection Networks
Authors: Huang, Po-Tsang
Hwang, Wei
交大名義發表
National Chiao Tung University
Keywords: two-level FIFO buffer;centralized shared buffer;router;on-chip interconnection network
Issue Date: 1-Nov-2011
Abstract: The on-chip interconnection network (OCIN) is an integrated solution for system-on-chip (SoC) designs. The buffer architecture and size, however, dominate the performance of OCINs and affect the design of routers. This work analyzes different buffer architectures and uses a data-link two-level FIFO (first-in first-out) buffer architecture to implement high-performance routers. The concepts of shared buffers and multiple accesses for buffers are developed using the two-level FIFO buffer architecture. The proposed two-level FIFO buffer architecture increases the utilities of the storage elements via the centralized buffer organization and reduces the area and power consumption of routers to achieve the same performance achieved by other buffer architectures. Depending on a cycle-accurate simulator, the proposed data-link two-level FIFO buffer can realize performance similar to that of the conventional virtual channels, while using 25% of the buffers. Consequently, the two-level FIFO buffer can achieve about 22% power reduction compared with the similar performance of the conventional virtual channels using UMC 65 nm CMOS technology.
URI: http://dx.doi.org/10.1587/transfun.E94.A.2412
http://hdl.handle.net/11536/14671
ISSN: 0916-8508
DOI: 10.1587/transfun.E94.A.2412
Journal: IEICE TRANSACTIONS ON FUNDAMENTALS OF ELECTRONICS COMMUNICATIONS AND COMPUTER SCIENCES
Volume: E94A
Issue: 11
Begin Page: 2412
End Page: 2424
Appears in Collections:Articles


Files in This Item:

  1. 000296673300044.pdf

If it is a zip file, please download the file and unzip it, then open index.html in a browser to view the full text content.