瀏覽 的方式: 作者 Hwang, Wei

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 41 到 60 筆資料,總共 150 筆 < 上一頁   下一頁 >
公開日期標題作者
二月-2017Digital Buck Converter With Switching Loss Reduction Scheme for Light Load Efficiency EnhancementWu, Chung-Shiang; Lee, Hui-Hsuan; Chen, Po-Hung; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-二月-2015A double-sided, single-chip integration scheme using through-silicon-via for neural sensing applicationsChang, Chih-Wei; Chou, Lei-Chun; Huang, Po-Tsang; Wu, Shang-Lin; Lee, Shih-Wei; Chuang, Ching-Te; Chen, Kuan-Neng; Hwang, Wei; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chiou, Jin-Chern; 交大名義發表; National Chiao Tung University
1-一月-2013A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGEChen, Mei-Wei; Chang, Ming-Hung; Wu, Pei-Chen; Kuo, Yi-Ping; Yang, Chun-Lin; Chu, Yuan-Hua; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
8-七月-2010Dual-threshold-voltage two-port sub-threshold SRAM cell apparatusChang, Mu-Tien; Huang, Po-Tsang; Hwang, Wei
2011An Energy-Efficient 10T SRAM-based FIFO Memory Operating in Near-/Sub-threshold RegionsDu, Wei-Hung; Chang, Ming-Hung; Yang, Hao-Yi; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2019An Energy-Efficient Accelerator with Relative-Indexing Memory for Sparse Compressed Convolutional Neural NetworkWu, I-Chen; Huang, Po-Tsang; Lo, Chin-Yang; Hwang, Wei; 電子工程學系及電子研究所; 國際半導體學院; Department of Electronics Engineering and Institute of Electronics; International College of Semiconductor Technology
1-一月-2014Energy-Efficient Configurable Discrete Wavelet Transform for Neural Sensing ApplicationsWang, Tang-Hsuan; Huang, Po-Tsang; Chen, Kuan-Neng; Chiou, Jin-Chem; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chuang, Ching-Te; Hwang, Wei; 電機工程學系; Department of Electrical and Computer Engineering
2015Energy-Efficient Gas Recognition System with Event-Driven Power ControlHuang, Chun-Ying; Huang, Po-Tsang; Yang, Chih-Chao; Chuang, Ching-Te; Hwang, Wei; 電機工程學系; Department of Electrical and Computer Engineering
1-一月-2014Energy-Efficient Low-Noise 16-Channel Analog-Front-End Circuit for Bio-potential AcquisitionWu, Shang-Lin; Huang, Po-Tsang; Huang, Teng-Chieh; Chen, Kuan-Neng; Chiou, Jin-Chern; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007A flexible two-layer external memory management for H.264/AVC decoderChang, Chang-Hsuan; Chang, Ming-Hung; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2010Fully On-Chip Temperature, Process, and Voltage SensorsChen, Shi-Wen; Chang, Ming-Hung; Hsieh, Wei-Chih; Hwang, Wei; 電子與資訊研究中心; Microelectronics and Information Systems Research Center
2008A Fully-Differential Subthreshold SRAM Cell with Auto-CompensationChang, Mu-Tien; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008"Green" micro-architecture and circuit co-design for ternary content addressable memoryHuang, Po-Tsang; Chang, Shu-Wei; Liu, Wen-Yen; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2010High Efficiency Power Management System for Solar Energy Harvesting ApplicationsChang, Ming-Hung; Wu, Jung-Yi; Hsieh, Wei-Chih; Lin, Shang-Yuan; Liang, You-Wei; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2012High-Performance 0.6V V-MIN 55nm 1.0Mb 6T SRAM with Adaptive BL BleederYang, Hao-I; Lin, Yi-Wei; Hsia, Mao-Chih; Lin, Geng-Cing; Chang, Chi-Shin; Chen, Yin-Nien; Chuang, Ching-Te; Hwang, Wei; Jou, Shyh-Jye; Lien, Nan-Chun; Li, Hung-Yu; Lee, Kuen-Di; Shih, Wei-Chiang; Wu, Ya-Ping; Lee, Wen-Ta; Hsu, Chih-Chiang; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2011A High-Performance Low V(MIN) 55nm 512Kb Disturb-Free 8T SRAM with Adaptive VVSS ControlYang, Hao-I; Yang, Shih-Chi; Hsia, Mao-Chih; Lin, Yung-Wei; Lin, Yi-Wei; Chen, Chien-Hen; Chang, Chi-Shin; Lin, Geng-Cing; Chen, Yin-Nien; Chuang, Ching-Te; Hwang, Wei; Jou, Shyh-Jye; Lien, Nan-Chun; Li, Hung-Yu; Lee, Kuen-Di; Shih, Wei-Chiang; Wu, Ya-Ping; Lee, Wen-Ta; Hsu, Chih-Chiang; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2009Impact of Gate-Oxide Breakdown on Power-Gated SRAMYang, Hao-I; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2009Impacts of Contact Resistance and NBTI/PBTI on SRAM with High-kappa Metal-Gate DevicesYang, Hao-I; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2011Impacts of gate-oxide breakdown on power-gated SRAMYang, Hao-I; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2009Impacts of NBTI and PBTI on Power-Gated SRAM with High-k Metal-Gate DevicesYang, Hao-I; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics