瀏覽 的方式: 作者 Chang, Ming-Hung

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 1 到 19 筆資料,總共 19 筆
公開日期標題作者
2007A 1.9mW portable ADPLL-based frequency synthesizer for high speed clock generationChang, Ming-Hung; Yang, Zong-Xi; Hwang, Wei; 電子與資訊研究中心; Microelectronics and Information Systems Research Center
2009A 2.1-mu W 0.3V-1.0V Wide Locking Range Multiphase DLL Using Self-Estimated SAR AlgorithmChang, Yi-Ming; Chang, Ming-Hung; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008A 300-mV 36-mu W Multiphase Dual Digital Clock Output Generator with Self-CalibrationChang, Ming-Hung; Chuang, Li-Pu; Chang, I-Ming; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008A 5.2mW all-digital fast-lock self-calibrated multiphase delay-locked loopChuang, Li-Pu; Chang, Ming-Hung; Huang, Po-Tsang; Kan, Chih-Hao; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2008A controllable low-power dual-port embedded SRAM for DSP processorYang, Hao-I; Chang, Ming-Hung; Lin, Tay-Jyi; Ou, Shih-Hao; Deng, Siang-Sen; Liu, Chih-Wei; Hwang, Wei; 電子與資訊研究中心; Microelectronics and Information Systems Research Center
1995CORAL*:一個多俟服器的遠距合作學習系統張銘宏; Chang, Ming-Hung; 林盈達; Ying-Dar Lin; 資訊科學與工程研究所
1-七月-2012Design and Iso-Area V-min Analysis of 9T Subthreshold SRAM With Bit-Interleaving Scheme in 65-nm CMOSChang, Ming-Hung; Chiu, Yi-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2013A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGEChen, Mei-Wei; Chang, Ming-Hung; Wu, Pei-Chen; Kuo, Yi-Ping; Yang, Chun-Lin; Chu, Yuan-Hua; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2011An Energy-Efficient 10T SRAM-based FIFO Memory Operating in Near-/Sub-threshold RegionsDu, Wei-Hung; Chang, Ming-Hung; Yang, Hao-Yi; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007A flexible two-layer external memory management for H.264/AVC decoderChang, Chang-Hsuan; Chang, Ming-Hung; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2010Fully On-Chip Temperature, Process, and Voltage SensorsChen, Shi-Wen; Chang, Ming-Hung; Hsieh, Wei-Chih; Hwang, Wei; 電子與資訊研究中心; Microelectronics and Information Systems Research Center
2010High Efficiency Power Management System for Solar Energy Harvesting ApplicationsChang, Ming-Hung; Wu, Jung-Yi; Hsieh, Wei-Chih; Lin, Shang-Yuan; Liang, You-Wei; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2011Logical Effort Models with Voltage and Temperature Extensions in Super-/Near-/Sub-threshold RegionsChang, Ming-Hung; Hsieh, Chung-Ying; Chen, Mei-Wei; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007A low-power low-swing single-ended multi-port SRAMYang, Hao-, I; Chang, Ming-Hung; Lai, Ssu-Yun; Wang, Hsiang-Fei; Hwang, Wei; 電機學院; College of Electrical and Computer Engineering
2013Multi-Layer Adaptive Power Management Architecture for TSV 3DIC ApplicationsChang, Ming-Hung; Hsieh, Wei-Chih; Wu, Pei-Chen; Chuang, Ching-Te; Chen, Kuan-Neng; Wang, Chen-Chao; Ting, Chun-Yen; Chen, Kua-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2013Near-/Sub-V-th Process, Voltage, and Temperature (PVT) Sensors with Dynamic Voltage SelectionChang, Ming-Hung; Lin, Shang-Yuan; Wu, Pei-Chen; Zakoretska, Olesya; Chuang, Ching-Te; Chen, Kuan-Neng; Wang, Chen-Chao; Chen, Kua-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007Power gating technique for embedded pseudo SRAMCheng, Ching-Yun; Chang, Ming-Hung; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
24-七月-2008STORED DON'T-CARE BASED HIERARCHICAL SEARCH-LINE SCHEMECHANG, Shu-Wei; Hwang, Wei; Chang, Ming-Hung; Huang, Po-Tsang
2011適用於高能源效率晶片之可感知變異超低電壓設計張銘宏; Chang, Ming-Hung; 黃威; Hwang, Wei; 電子研究所