瀏覽 的方式: 作者 Hwang, Wei

跳到: 0-9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z
或是輸入前幾個字:  
顯示 61 到 80 筆資料,總共 150 筆 < 上一頁   下一頁 >
公開日期標題作者
2009Impacts of NBTI on SRAM Array with Power Gating StructureYang, Hao-I; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-七月-2011Impacts of NBTI/PBTI and Contact Resistance on Power-Gated SRAM With High-kappa Metal-Gate DevicesYang, Hao-I; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-六月-2011Impacts of NBTI/PBTI on Timing Control Circuits and Degradation Tolerant Design in Nanoscale CMOS SRAMYang, Hao-I.; Yang, Shyh-Chyi; Hwang, Wei; Chuang, Ching-Te; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2017An Implantable 128-Channel Wireless Neural-Sensing Microsystem using TSV-Embedded Dissolvable mu-Needle Array and Flexible InterposerHuang, Po-Tsang; Huang, Yu-Chieh; Wu, Shang-Lin; Hu, Yu-Chen; Lu, Ming-Wei; Sheng, Ting-Wei; Chang, Fung-Kai; Lin, Chun-Pin; Chang, Nien-Shang; Chen, Hung-Lieh; Chen, Chi-Shi; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chen, Kuan-Neng; Chuang, Ching-Te; Chiou, Jin-Chern; 生物科技學系; 電子工程學系及電子研究所; 電控工程研究所; 國際半導體學院; Department of Biological Science and Technology; Department of Electronics Engineering and Institute of Electronics; Institute of Electrical and Control Engineering; International College of Semiconductor Technology
2008IN-SITU SELF-AWARE ADAPTIVE POWER CONTROL SYSTEM WITH MULTI-MODE POWER GATING NETWORKHsieh, Wei-Chih; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
1-一月-2014Integrated Microprobe Array and CMOS MEMS by TSV Technology for Bio- Signal Recording ApplicationChou, Lei-Chun; Lee, Shih-Wei; Huang, Po-Tsang; Chang, Chih-Wei; Wu, Shang-Lin; Chiou, Jin-Chern; Chuang, Ching-Te; Hwang, Wei; Wu, Chung-Hsi; Chen, Kuo-Hua; Chiu, Chi-Tsung; Tong, Ho-Ming; Chen, Kuan-Neng; 交大名義發表; National Chiao Tung University
2016Integration of Neural Sensing Microsystem with TSV-embedded Dissolvable mu-Needles Array, Biocompatible Flexible Interposer, and Neural Recording CircuitsHuang, Yu-Chieh; Hu, Yu-Chen; Huang, Po-Tsang; Wu, Shang-Lin; You, Yan-Huei; Chen, Jr-Ming; Huang, Yan-Yu; Chang, Hsiao-Chun; Lin, Yen-Han; Duann, Jeng-Ren; Chiu, Tzai-Wen; Hwang, Wei; Chuang, Ching-Te; Chiou, Jin-Chern; Chen, Kuan-Neng; 交大名義發表; National Chiao Tung University
2012Investigation of ICP Parameters for Smooth TSVs and Following Cu Plating Process in 3D IntegrationChiang, Cheng-Hao; Hu, Yu-Chen; Chen, Kuo-Hua; Chiu, Chi-Tsung; Chuang, Ching-Te; Hwang, Wei; Chiou, Jin-Chern; Tong, Ho-Ming; Chen, Kuan-Neng; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
25-六月-2009Leakage current cut-off device for ternary content addressable memoryHuang, Po-Tsang; Liu, Wen-Yen; Hwang, Wei
2011Logical Effort Models with Voltage and Temperature Extensions in Super-/Near-/Sub-threshold RegionsChang, Ming-Hung; Hsieh, Chung-Ying; Chen, Mei-Wei; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2015Low Power Algorithm-Architecture Co-Design of Fast Independent Component Analysis (FICA) for Multi-Gas Sensor ApplicationsYang, Chieh-Chao; Huang, Po-Tsang; Huang, Chun-Ying; Chuang, Ching-Te; Hwang, Wei; 電機學院; 電子工程學系及電子研究所; College of Electrical and Computer Engineering; Department of Electronics Engineering and Institute of Electronics
2007Low power and reliable interconnection with self-corrected green coding scheme for network-on-chipHuang, Po-Tsang; Fang, Wei-Li; Wang, Yin-Ling; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007Low power on-chip current monitoring medium-grained adaptive voltage controlHsieh, Wei-Chih; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2006Low power pre-comparison scheme for NOR-type 10T content addressable memoryHuang, Po-Tsang; Chang, Wei-Keng; Hwang, Wei; 友訊交大聯合研發中心; D Link NCTU Joint Res Ctr
2010Low Quiescent Current Variable Output Digital Controlled Voltage RegulatorHsieh, Wei-Chih; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2013Low Temperature (< 180 degrees C) Bonding for 3D IntegrationHuang, Yan-Pin; Tzeng, Ruoh-Ning; Chien, Yu-San; Shy, Ming-Shaw; Lin, Teu-Hua; Chen, Kou-Hua; Chuang, Ching-Te; Hwang, Wei; Chiu, Chi-Tsung; Tong, Ho-Ming; Chen, Kuan-Neng; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2013Low Temperature (< 180 degrees C) Wafer-level and Chip-level In-to-Cu and Cu-to-Cu Bonding for 3D IntegrationChien, Yu-San; Huang, Yan-Pin; Tzeng, Ruoh-Ning; Shy, Ming-Shaw; Lin, Teu-Hua; Chen, Kou-Hua; Chuang, Ching-Te; Hwang, Wei; Chiou, Jin-Chern; Chiu, Chi-Tsung; Tong, Ho-Ming; Chen, Kuan-Neng; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2007A low-power low-swing single-ended multi-port SRAMYang, Hao-, I; Chang, Ming-Hung; Lai, Ssu-Yun; Wang, Hsiang-Fei; Hwang, Wei; 電機學院; College of Electrical and Computer Engineering
1-三月-2014Low-Power Multiport SRAM With Cross-Point Write Word-Lines, Shared Write Bit-Lines, and Shared Write Row-Access TransistorsWang, Dao-Ping; Lin, Hon-Jarn; Chuang, Ching-Te; Hwang, Wei; 電子工程學系及電子研究所; Department of Electronics Engineering and Institute of Electronics
2006A low-power reconfigurable mixed-radix FFT/IFFT processorLai, Chi-Chen; Hwang, Wei; 友訊交大聯合研發中心; D Link NCTU Joint Res Ctr